डार्क सिलिकॉन: Difference between revisions

From Vigyanwiki
(Created page with "{{short description|Unpowered circuitry due to thermal power constraints}} इलेक्ट्रॉनिक्स उद्योग में, डार्क सिल...")
 
No edit summary
Line 1: Line 1:
{{short description|Unpowered circuitry due to thermal power constraints}}
{{short description|Unpowered circuitry due to thermal power constraints}}
इलेक्ट्रॉनिक्स उद्योग में, डार्क सिलिकॉन एक एकीकृत सर्किट की सर्किटरी की मात्रा है जिसे किसी दिए गए [[थर्मल डिज़ाइन पावर]] (टीडीपी) बाधा के लिए नाममात्र ऑपरेटिंग वोल्टेज पर संचालित नहीं किया जा सकता है।
इलेक्ट्रॉनिक्स उद्योग में, '''डार्क सिलिकॉन''' एकीकृत सर्किट की सर्किटरी की मात्रा है जिसे किसी दिए गए [[थर्मल डिज़ाइन पावर]] (टीडीपी) बाधा के लिए नाममात्र ऑपरेटिंग वोल्टेज पर संचालित नहीं किया जा सकता है।
 
[[डेनार्ड स्केलिंग]] का मानना ​​​​है कि जैसे-जैसे ट्रांजिस्टर छोटे होते जाते हैं, वे किसी दिए गए क्षेत्र के लिए संख्या में वृद्धि के अनुपात में अधिक कुशल हो जाते हैं, किन्तु यह स्केलिंग वर्तमान के वर्षों में विभक्त हो गई है, जिसका अर्थ है कि छोटे ट्रांजिस्टर की दक्षता में वृद्धि आनुपातिक नहीं है उनकी संख्या में वृद्धि स्केलिंग के विवृत होने से विद्युत घनत्व में तीव्रता वृद्धि हुई है जो तापमान को सुरक्षित ऑपरेटिंग रेंज में रखते हुए सभी ट्रांजिस्टर प्रारम्भ करने में बाधा उत्पन्न करते है।<ref>{{Cite journal|last=Taylor|first=Michael B.|date=June 2012|title=Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse|url=https://ieeexplore.ieee.org/document/6241647|journal=DAC Design Automation Conference 2012|pages=1131–1136}}</ref>


[[डेनार्ड स्केलिंग]] का मानना ​​​​है कि जैसे-जैसे ट्रांजिस्टर छोटे होते जाते हैं, वे किसी दिए गए क्षेत्र के लिए संख्या में वृद्धि के अनुपात में अधिक कुशल हो जाते हैं, लेकिन यह स्केलिंग हाल के वर्षों में टूट गई है, जिसका अर्थ है कि छोटे ट्रांजिस्टर की दक्षता में वृद्धि आनुपातिक नहीं है उनकी संख्या में वृद्धि. स्केलिंग के बंद होने से बिजली घनत्व में तेज वृद्धि हुई है जो तापमान को सुरक्षित ऑपरेटिंग रेंज में रखते हुए सभी ट्रांजिस्टर को एक साथ चालू करने में बाधा उत्पन्न करती है।<ref>{{Cite journal|last=Taylor|first=Michael B.|date=June 2012|title=Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse|url=https://ieeexplore.ieee.org/document/6241647|journal=DAC Design Automation Conference 2012|pages=1131–1136}}</ref>
2011 तक, विभिन्न समूहों के शोधकर्ताओं ने अनुमान लगाया है कि, 8 एनएम प्रौद्योगिकी नोड्स पर, डार्क सिलिकॉन की मात्रा 50-80% तक पहुंच सकती है<ref>{{Cite journal|last=Esmaeilzadeh|first=Hadi|date=June 2011|title=डार्क सिलिकॉन और मल्टीकोर स्केलिंग का अंत|journal=2011 38th Annual International Symposium on Computer Architecture (ISCA)|pages=365–376|display-authors=etal|url=https://www.esa.informatik.tu-darmstadt.de/archive/twiki/pub/Lectures/EinfuehrungInComputerMicrosystems11De/ISCA11.pdf}}</ref> प्रोसेसर आर्किटेक्चर, कूलिंग टेक्नोलॉजी और एप्लिकेशन वर्कलोड पर निर्भर करता है। अंतर्निहित क्लाइंट अनुरोध-स्तर समानता की प्रचुरता के साथ [[सर्वर (कंप्यूटिंग)]] वर्कलोड में भी डार्क सिलिकॉन अपरिहार्य हो सकता है।<ref>{{Cite journal|last1=Hardavellas|first1=Nikos|last2=Ferdman|first2=Michael|last3=Falsafi|first3=Babak|last4=Ailamaki|first4=Anastasia|date=2011|title=सर्वर में डार्क सिलिकॉन की ओर|journal=IEEE Micro|volume=31|issue=4|page=6|doi=10.1109/MM.2011.77|s2cid=2765349 |issn=1937-4143|url=https://infoscience.epfl.ch/record/168285/files/darksilicon_ieeemicro11.pdf}}</ref>
2011 तक, विभिन्न समूहों के शोधकर्ताओं ने अनुमान लगाया है कि, 8 एनएम प्रौद्योगिकी नोड्स पर, डार्क सिलिकॉन की मात्रा 50-80% तक पहुंच सकती है<ref>{{Cite journal|last=Esmaeilzadeh|first=Hadi|date=June 2011|title=डार्क सिलिकॉन और मल्टीकोर स्केलिंग का अंत|journal=2011 38th Annual International Symposium on Computer Architecture (ISCA)|pages=365–376|display-authors=etal|url=https://www.esa.informatik.tu-darmstadt.de/archive/twiki/pub/Lectures/EinfuehrungInComputerMicrosystems11De/ISCA11.pdf}}</ref> प्रोसेसर आर्किटेक्चर, कूलिंग टेक्नोलॉजी और एप्लिकेशन वर्कलोड पर निर्भर करता है। अंतर्निहित क्लाइंट अनुरोध-स्तर समानता की प्रचुरता के साथ [[सर्वर (कंप्यूटिंग)]] वर्कलोड में भी डार्क सिलिकॉन अपरिहार्य हो सकता है।<ref>{{Cite journal|last1=Hardavellas|first1=Nikos|last2=Ferdman|first2=Michael|last3=Falsafi|first3=Babak|last4=Ailamaki|first4=Anastasia|date=2011|title=सर्वर में डार्क सिलिकॉन की ओर|journal=IEEE Micro|volume=31|issue=4|page=6|doi=10.1109/MM.2011.77|s2cid=2765349 |issn=1937-4143|url=https://infoscience.epfl.ch/record/168285/files/darksilicon_ieeemicro11.pdf}}</ref>


== उत्तेजना और सुयोग ==
डार्क सिलिकॉन का उद्भव वास्तुकला, [[इलेक्ट्रॉनिक डिजाइन स्वचालन]] (ईडीए), और हार्डवेयर-सॉफ़्टवेयर सह-डिज़ाइन समुदायों के लिए कई उत्तेजना प्रस्तुत करता है। इनमें यह प्रश्न सम्मिलित है कि पीक पावर और थर्मल बाधाओं के अंतर्गत ऊर्जा-कुशल ऑन-चिप [[कई कोर प्रोसेसर]] को डिजाइन और प्रबंधित करते समय बड़ी संख्या में ट्रांजिस्टर (संभवतः डार्क) का उपयोग कैसे किया जाए। आर्किटेक्ट्स ने एप्लिकेशन-विशिष्ट और त्वरक-समृद्ध आर्किटेक्चर को डिजाइन करने में डार्क सिलिकॉन का लाभ उठाने के लिए कई प्रयास प्रारंभ किए हैं।<ref>{{Cite journal|last1=Venkatesh|first1=Ganesh|last2=Sampson|first2=Jack|last3=Goulding|first3=Nathan|last4=Garcia|first4=Saturnino|last5=Bryksin|first5=Vladyslav|last6=Lugo-Martinez|first6=Jose|last7=Swanson|first7=Steven|last8=Taylor|first8=Michael Bedford|date=2010-03-13|title=Conservation cores: reducing the energy of mature computations|url=http://cseweb.ucsd.edu/~gvenkatesh/Publications_files/paper.pdf|journal=ACM SIGPLAN Notices|volume=45|issue=3|pages=205–218|doi=10.1145/1735971.1736044|issn=0362-1340}}</ref><ref>{{Cite book|last1=Cong|first1=Jason|last2=Ghodrat|first2=Mohammad Ali|last3=Gill|first3=Michael|last4=Grigorian|first4=Beayna|last5=Reinman|first5=Glenn|title=Proceedings of the 49th Annual Design Automation Conference |chapter=Architecture support for accelerator-rich CMPS |date=2012-06-03|chapter-url=https://doi.org/10.1145/2228360.2228512|series=DAC '12|location=San Francisco, California|publisher=Association for Computing Machinery|pages=843–849|doi=10.1145/2228360.2228512|isbn=978-1-4503-1199-1|s2cid=15870762 }}</ref><ref>{{Cite journal|last1=Lyons|first1=Michael J.|last2=Hempstead|first2=Mark|last3=Wei|first3=Gu-Yeon|last4=Brooks|first4=David|date=2012-01-26|title=The accelerator store: A shared memory framework for accelerator-based systems|journal=ACM Transactions on Architecture and Code Optimization|volume=8|issue=4|pages=48:1–48:22|doi=10.1145/2086696.2086727|citeseerx=10.1.1.226.994 |issn=1544-3566|doi-access=free}}</ref>


==चुनौतियाँ और अवसर==
वर्तमान में, शोधकर्ताओं ने ज्ञात किया है कि कैसे डार्क सिलिकॉन ईडीए समुदाय के लिए नई उत्तेजना और सुयोग को उजागर करता है।<ref>{{Cite book|last1=Shafique|first1=Muhammad|last2=Garg|first2=Siddharth|last3=Henkel|first3=Jörg|last4=Marculescu|first4=Diana|title=Proceedings of the 51st Annual Design Automation Conference |chapter=The EDA Challenges in the Dark Silicon Era |date=2014-06-01|chapter-url=https://dl.acm.org/citation.cfm?id=2593229|series=DAC '14|location=San Francisco, CA, USA|publisher=Association for Computing Machinery|pages=1–6|doi=10.1145/2593069.2593229|isbn=978-1-4503-2730-5|s2cid=10686259 }}</ref> विशेष रूप से, उन्होंने डार्क सिलिकॉन मल्टी-कोर प्रोसेसर के लिए थर्मल, विश्वसनीयता (सॉफ्ट एरर और एजिंग), और प्रक्रिया भिन्नता संबंधी आशंका का प्रदर्शन किया है।
डार्क सिलिकॉन का उद्भव वास्तुकला, [[इलेक्ट्रॉनिक डिजाइन स्वचालन]] (ईडीए), और हार्डवेयर-सॉफ़्टवेयर सह-डिज़ाइन समुदायों के लिए कई चुनौतियाँ पेश करता है। इनमें यह सवाल शामिल है कि पीक पावर और थर्मल बाधाओं के तहत ऊर्जा-कुशल ऑन-चिप [[कई कोर प्रोसेसर]] को डिजाइन और प्रबंधित करते समय बड़ी संख्या में ट्रांजिस्टर (संभवतः कई अंधेरे वाले) का उपयोग कैसे किया जाए। आर्किटेक्ट्स ने एप्लिकेशन-विशिष्ट और त्वरक-समृद्ध आर्किटेक्चर को डिजाइन करने में डार्क सिलिकॉन का लाभ उठाने के लिए कई प्रयास शुरू किए हैं।<ref>{{Cite journal|last1=Venkatesh|first1=Ganesh|last2=Sampson|first2=Jack|last3=Goulding|first3=Nathan|last4=Garcia|first4=Saturnino|last5=Bryksin|first5=Vladyslav|last6=Lugo-Martinez|first6=Jose|last7=Swanson|first7=Steven|last8=Taylor|first8=Michael Bedford|date=2010-03-13|title=Conservation cores: reducing the energy of mature computations|url=http://cseweb.ucsd.edu/~gvenkatesh/Publications_files/paper.pdf|journal=ACM SIGPLAN Notices|volume=45|issue=3|pages=205–218|doi=10.1145/1735971.1736044|issn=0362-1340}}</ref><ref>{{Cite book|last1=Cong|first1=Jason|last2=Ghodrat|first2=Mohammad Ali|last3=Gill|first3=Michael|last4=Grigorian|first4=Beayna|last5=Reinman|first5=Glenn|title=Proceedings of the 49th Annual Design Automation Conference |chapter=Architecture support for accelerator-rich CMPS |date=2012-06-03|chapter-url=https://doi.org/10.1145/2228360.2228512|series=DAC '12|location=San Francisco, California|publisher=Association for Computing Machinery|pages=843–849|doi=10.1145/2228360.2228512|isbn=978-1-4503-1199-1|s2cid=15870762 }}</ref><ref>{{Cite journal|last1=Lyons|first1=Michael J.|last2=Hempstead|first2=Mark|last3=Wei|first3=Gu-Yeon|last4=Brooks|first4=David|date=2012-01-26|title=The accelerator store: A shared memory framework for accelerator-based systems|journal=ACM Transactions on Architecture and Code Optimization|volume=8|issue=4|pages=48:1–48:22|doi=10.1145/2086696.2086727|citeseerx=10.1.1.226.994 |issn=1544-3566|doi-access=free}}</ref>
हाल ही में, शोधकर्ताओं ने पता लगाया है कि कैसे डार्क सिलिकॉन ईडीए समुदाय के लिए नई चुनौतियों और अवसरों को उजागर करता है।<ref>{{Cite book|last1=Shafique|first1=Muhammad|last2=Garg|first2=Siddharth|last3=Henkel|first3=Jörg|last4=Marculescu|first4=Diana|title=Proceedings of the 51st Annual Design Automation Conference |chapter=The EDA Challenges in the Dark Silicon Era |date=2014-06-01|chapter-url=https://dl.acm.org/citation.cfm?id=2593229|series=DAC '14|location=San Francisco, CA, USA|publisher=Association for Computing Machinery|pages=1–6|doi=10.1145/2593069.2593229|isbn=978-1-4503-2730-5|s2cid=10686259 }}</ref> विशेष रूप से, उन्होंने डार्क सिलिकॉन मल्टी-कोर प्रोसेसर के लिए थर्मल, विश्वसनीयता (सॉफ्ट एरर और एजिंग), और प्रक्रिया भिन्नता संबंधी चिंताओं का प्रदर्शन किया है।


==संदर्भ==
==संदर्भ==
{{reflist|30em}}
{{reflist|30em}}
<!--- After listing your sources please cite them using inline citations and place them after the information they cite. Please see http://en.wikipedia.org/wiki/Wikipedia:REFB for instructions on how to add citations. --->


<!--- STOP! Be warned that by using this process instead of Articles for Creation, this article is subject to scrutiny. As an article in "mainspace", it will be DELETED if there are problems, not just declined. If you wish to use AfC, please return to the Wizard and continue from there. --->
[[Category: इलेक्ट्रॉनिक डिज़ाइन]]  
[[Category: इलेक्ट्रॉनिक डिज़ाइन]]  



Revision as of 14:06, 12 August 2023

इलेक्ट्रॉनिक्स उद्योग में, डार्क सिलिकॉन एकीकृत सर्किट की सर्किटरी की मात्रा है जिसे किसी दिए गए थर्मल डिज़ाइन पावर (टीडीपी) बाधा के लिए नाममात्र ऑपरेटिंग वोल्टेज पर संचालित नहीं किया जा सकता है।

डेनार्ड स्केलिंग का मानना ​​​​है कि जैसे-जैसे ट्रांजिस्टर छोटे होते जाते हैं, वे किसी दिए गए क्षेत्र के लिए संख्या में वृद्धि के अनुपात में अधिक कुशल हो जाते हैं, किन्तु यह स्केलिंग वर्तमान के वर्षों में विभक्त हो गई है, जिसका अर्थ है कि छोटे ट्रांजिस्टर की दक्षता में वृद्धि आनुपातिक नहीं है उनकी संख्या में वृद्धि स्केलिंग के विवृत होने से विद्युत घनत्व में तीव्रता वृद्धि हुई है जो तापमान को सुरक्षित ऑपरेटिंग रेंज में रखते हुए सभी ट्रांजिस्टर प्रारम्भ करने में बाधा उत्पन्न करते है।[1]

2011 तक, विभिन्न समूहों के शोधकर्ताओं ने अनुमान लगाया है कि, 8 एनएम प्रौद्योगिकी नोड्स पर, डार्क सिलिकॉन की मात्रा 50-80% तक पहुंच सकती है[2] प्रोसेसर आर्किटेक्चर, कूलिंग टेक्नोलॉजी और एप्लिकेशन वर्कलोड पर निर्भर करता है। अंतर्निहित क्लाइंट अनुरोध-स्तर समानता की प्रचुरता के साथ सर्वर (कंप्यूटिंग) वर्कलोड में भी डार्क सिलिकॉन अपरिहार्य हो सकता है।[3]

उत्तेजना और सुयोग

डार्क सिलिकॉन का उद्भव वास्तुकला, इलेक्ट्रॉनिक डिजाइन स्वचालन (ईडीए), और हार्डवेयर-सॉफ़्टवेयर सह-डिज़ाइन समुदायों के लिए कई उत्तेजना प्रस्तुत करता है। इनमें यह प्रश्न सम्मिलित है कि पीक पावर और थर्मल बाधाओं के अंतर्गत ऊर्जा-कुशल ऑन-चिप कई कोर प्रोसेसर को डिजाइन और प्रबंधित करते समय बड़ी संख्या में ट्रांजिस्टर (संभवतः डार्क) का उपयोग कैसे किया जाए। आर्किटेक्ट्स ने एप्लिकेशन-विशिष्ट और त्वरक-समृद्ध आर्किटेक्चर को डिजाइन करने में डार्क सिलिकॉन का लाभ उठाने के लिए कई प्रयास प्रारंभ किए हैं।[4][5][6]

वर्तमान में, शोधकर्ताओं ने ज्ञात किया है कि कैसे डार्क सिलिकॉन ईडीए समुदाय के लिए नई उत्तेजना और सुयोग को उजागर करता है।[7] विशेष रूप से, उन्होंने डार्क सिलिकॉन मल्टी-कोर प्रोसेसर के लिए थर्मल, विश्वसनीयता (सॉफ्ट एरर और एजिंग), और प्रक्रिया भिन्नता संबंधी आशंका का प्रदर्शन किया है।

संदर्भ

  1. Taylor, Michael B. (June 2012). "Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse". DAC Design Automation Conference 2012: 1131–1136.
  2. Esmaeilzadeh, Hadi; et al. (June 2011). "डार्क सिलिकॉन और मल्टीकोर स्केलिंग का अंत" (PDF). 2011 38th Annual International Symposium on Computer Architecture (ISCA): 365–376.
  3. Hardavellas, Nikos; Ferdman, Michael; Falsafi, Babak; Ailamaki, Anastasia (2011). "सर्वर में डार्क सिलिकॉन की ओर" (PDF). IEEE Micro. 31 (4): 6. doi:10.1109/MM.2011.77. ISSN 1937-4143. S2CID 2765349.
  4. Venkatesh, Ganesh; Sampson, Jack; Goulding, Nathan; Garcia, Saturnino; Bryksin, Vladyslav; Lugo-Martinez, Jose; Swanson, Steven; Taylor, Michael Bedford (2010-03-13). "Conservation cores: reducing the energy of mature computations" (PDF). ACM SIGPLAN Notices. 45 (3): 205–218. doi:10.1145/1735971.1736044. ISSN 0362-1340.
  5. Cong, Jason; Ghodrat, Mohammad Ali; Gill, Michael; Grigorian, Beayna; Reinman, Glenn (2012-06-03). "Architecture support for accelerator-rich CMPS". Proceedings of the 49th Annual Design Automation Conference. DAC '12. San Francisco, California: Association for Computing Machinery. pp. 843–849. doi:10.1145/2228360.2228512. ISBN 978-1-4503-1199-1. S2CID 15870762.
  6. Lyons, Michael J.; Hempstead, Mark; Wei, Gu-Yeon; Brooks, David (2012-01-26). "The accelerator store: A shared memory framework for accelerator-based systems". ACM Transactions on Architecture and Code Optimization. 8 (4): 48:1–48:22. CiteSeerX 10.1.1.226.994. doi:10.1145/2086696.2086727. ISSN 1544-3566.
  7. Shafique, Muhammad; Garg, Siddharth; Henkel, Jörg; Marculescu, Diana (2014-06-01). "The EDA Challenges in the Dark Silicon Era". Proceedings of the 51st Annual Design Automation Conference. DAC '14. San Francisco, CA, USA: Association for Computing Machinery. pp. 1–6. doi:10.1145/2593069.2593229. ISBN 978-1-4503-2730-5. S2CID 10686259.