2 एनएम प्रक्रिया: Difference between revisions

From Vigyanwiki
No edit summary
No edit summary
 
(6 intermediate revisions by 3 users not shown)
Line 1: Line 1:
{{Short description|Semiconductor manufacturing process}}
{{Short description|Semiconductor manufacturing process}}
{{Semiconductor manufacturing processes}}
{{Semiconductor manufacturing processes}}
[[अर्धचालक निर्माण]] में, 2 एनएम प्रक्रिया अगला एमओएसएफईटी (मेटल-ऑक्साइड-अर्धचालक फील्ड-इफेक्ट ट्रांजिस्टर) है जो 3 एनएम प्रक्रिया नोड के बाद सिकुड़ जाता है। मई 2022 तक, [[TSMC|टीएसएमसी]] ने 2024 के अंत में 2 एनएम उत्पादन और 2025 में बड़े पैमाने पर उत्पादन प्रारंभ करने की योजना बनाई है;<ref name=tsmc_rm_2022 /><ref>{{Cite web|url=https://www.anandtech.com/show/17013/tsmc-update-3nm-in-q1-2023-3nm-enhanced-in-2024-2nm-in-2025|title=TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025|date=2021-10-18|website=AnandTech|language=en-us|access-date=23 March 2022|archive-date=23 March 2022|archive-url=https://web.archive.org/web/20220323103821/https://www.anandtech.com/show/17013/tsmc-update-3nm-in-q1-2023-3nm-enhanced-in-2024-2nm-in-2025|url-status=live}}</ref> [[इंटेल]] ने 2024<ref name=":0">{{Cite web|url=https://www.intel.com/content/www/us/en/newsroom/news/intel-technology-roadmaps-milestones.html|title=इंटेल प्रौद्योगिकी रोडमैप और मील के पत्थर|date=2022-02-17|website=Intel|language=en-us|access-date=15 March 2022|archive-date=16 July 2022|archive-url=https://web.archive.org/web/20220716192641/https://www.intel.com/content/www/us/en/newsroom/news/intel-technology-roadmaps-milestones.html|url-status=live}}</ref> और दक्षिण कोरियाई चिपमेकर [[ SAMSUNG |सैमसंग]] में 2025 में उत्पादन का अनुमान लगाया है।<ref>{{Cite web|url=https://www.anandtech.com/show/16995/samsung-foundry-2nm-silicon-in-2025|title=Samsung Foundry: 2nm Silicon in 2025|date=2021-10-06|website=AnandTech|language=en-us|access-date=23 March 2022|archive-date=23 March 2022|archive-url=https://web.archive.org/web/20220323114436/https://www.anandtech.com/show/16995/samsung-foundry-2nm-silicon-in-2025|url-status=live}}</ref>
[[अर्धचालक निर्माण]] में, 2 एनएम प्रक्रिया अगला एमओएसएफईटी (मेटल-ऑक्साइड-अर्धचालक फील्ड-इफेक्ट ट्रांजिस्टर) है जो 3 एनएम प्रक्रिया नोड के बाद सिकुड़ जाता है। मई 2022 तक, [[TSMC|टीएसएमसी]] ने 2024 के अंत में 2 एनएम उत्पादन और 2025 में बड़े पैमाने पर उत्पादन प्रारंभ करने की योजना बनाई है;<ref name=tsmc_rm_2022 /><ref>{{Cite web|url=https://www.anandtech.com/show/17013/tsmc-update-3nm-in-q1-2023-3nm-enhanced-in-2024-2nm-in-2025|title=TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025|date=2021-10-18|website=AnandTech|language=en-us|access-date=23 March 2022|archive-date=23 March 2022|archive-url=https://web.archive.org/web/20220323103821/https://www.anandtech.com/show/17013/tsmc-update-3nm-in-q1-2023-3nm-enhanced-in-2024-2nm-in-2025|url-status=live}}</ref> [[इंटेल]] ने 2024<ref name=":0">{{Cite web|url=https://www.intel.com/content/www/us/en/newsroom/news/intel-technology-roadmaps-milestones.html|title=इंटेल प्रौद्योगिकी रोडमैप और मील के पत्थर|date=2022-02-17|website=Intel|language=en-us|access-date=15 March 2022|archive-date=16 July 2022|archive-url=https://web.archive.org/web/20220716192641/https://www.intel.com/content/www/us/en/newsroom/news/intel-technology-roadmaps-milestones.html|url-status=live}}</ref> और दक्षिण कोरियाई चिपमेकर [[ SAMSUNG |सैमसंग]] में 2025 में उत्पादन का अनुमान लगाया है।<ref>{{Cite web|url=https://www.anandtech.com/show/16995/samsung-foundry-2nm-silicon-in-2025|title=Samsung Foundry: 2nm Silicon in 2025|date=2021-10-06|website=AnandTech|language=en-us|access-date=23 March 2022|archive-date=23 March 2022|archive-url=https://web.archive.org/web/20220323114436/https://www.anandtech.com/show/16995/samsung-foundry-2nm-silicon-in-2025|url-status=live}}</ref>


शब्द 2 नैनोमीटर या वैकल्पिक रूप से 20 एंग्स्ट्रॉम (इंटेल द्वारा प्रयुक्त शब्द) का ट्रांजिस्टर के किसी भी वास्तविक भौतिक विशेषता (जैसे गेट की लंबाई, धातु की पिच या गेट पिच) से कोई संबंध नहीं है। [[इंस्टीट्यूट ऑफ़ इलेक्ट्रिकल एंड इलेक्ट्रॉनिक्स इंजीनियर्स]] (आईईईई) द्वारा प्रकाशित [[उपकरणों और प्रणालियों के लिए अंतर्राष्ट्रीय रोडमैप]] के 2021 अपडेट में निहित अनुमानों के अनुसार, 2.1 एनएम नोड रेंज लेबल में 45 नैनोमीटर की संपर्क गेट पिच और 20 नैनोमीटर की धातु की पिच सबसे सख्त धातु पिच होने की अपेक्षा है।<ref>{{Citation |url=https://irds.ieee.org/editions/2021/more-moore |title=INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™: More Moore |year=2021 |publisher=IEEE |page=7 |access-date=7 August 2022 |archive-date=7 August 2022 |archive-url=https://web.archive.org/web/20220807181530/https://irds.ieee.org/editions/2021/more-moore }}</ref>  
शब्द 2 नैनोमीटर या वैकल्पिक रूप से 20 एंग्स्ट्रॉम (इंटेल द्वारा प्रयुक्त शब्द) का ट्रांजिस्टर के किसी भी वास्तविक भौतिक विशेषता (जैसे गेट की लंबाई, धातु की पिच या गेट पिच) से कोई संबंध नहीं है। [[इंस्टीट्यूट ऑफ़ इलेक्ट्रिकल एंड इलेक्ट्रॉनिक्स इंजीनियर्स]] (आईईईई) द्वारा प्रकाशित [[उपकरणों और प्रणालियों के लिए अंतर्राष्ट्रीय रोडमैप]] के 2021 अपडेट में निहित अनुमानों के अनुसार, 2.1 एनएम नोड रेंज लेबल में 45 नैनोमीटर की संपर्क गेट पिच और 20 नैनोमीटर की धातु की पिच सबसे सख्त धातु पिच होने की अपेक्षा है।<ref>{{Citation |url=https://irds.ieee.org/editions/2021/more-moore |title=INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™: More Moore |year=2021 |publisher=IEEE |page=7 |access-date=7 August 2022 |archive-date=7 August 2022 |archive-url=https://web.archive.org/web/20220807181530/https://irds.ieee.org/editions/2021/more-moore }}</ref>  
Line 16: Line 16:
|-
|-
|}
|}
जैसे, अर्धचालक उद्योग द्वारा "2 एनएम" का उपयोग मुख्य रूप से एक विपणन शब्द के रूप में किया जाता है, जो पिछले 3 एनएम नोड पीढ़ी की तुलना में बढ़े हुए ट्रांजिस्टर घनत्व (लघुकरण की उच्च डिग्री), बढ़ी हुई गति और कम बिजली की खपत के संदर्भ में चिप्स की एक नई उन्नत पीढ़ी को संदर्भित करता है।<ref>{{Cite web |url=https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-numbers |title=TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is" |access-date=20 April 2020 |archive-date=17 June 2020 |archive-url=https://web.archive.org/web/20200617230408/https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-numbers |url-status=live }}</ref><ref>{{Cite journal |url=https://spectrum.ieee.org/semiconductors/devices/a-better-way-to-measure-progress-in-semiconductors |author=Samuel K. Moore |title=A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric |publisher=IEEE |journal=IEEE Spectrum |date=21 July 2020 |access-date=20 April 2021 |archive-date=2 December 2020 |archive-url=https://web.archive.org/web/20201202002819/https://spectrum.ieee.org/semiconductors/devices/a-better-way-to-measure-progress-in-semiconductors |url-status=live }}</ref>
जैसे, अर्धचालक उद्योग द्वारा "2 एनएम" का उपयोग मुख्य रूप से एक विपणन शब्द के रूप में किया जाता है, जो पिछले 3 एनएम नोड पीढ़ी की तुलना में बढ़े हुए ट्रांजिस्टर घनत्व (लघुकरण की उच्च डिग्री), बढ़ी हुई गति और कम विद्युत की व्यय के संदर्भ में चिप्स की एक नई उन्नत पीढ़ी को संदर्भित करता है।<ref>{{Cite web |url=https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-numbers |title=TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is" |access-date=20 April 2020 |archive-date=17 June 2020 |archive-url=https://web.archive.org/web/20200617230408/https://www.pcgamesn.com/amd/tsmc-7nm-5nm-and-3nm-are-just-numbers |url-status=live }}</ref><ref>{{Cite journal |url=https://spectrum.ieee.org/semiconductors/devices/a-better-way-to-measure-progress-in-semiconductors |author=Samuel K. Moore |title=A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric |publisher=IEEE |journal=IEEE Spectrum |date=21 July 2020 |access-date=20 April 2021 |archive-date=2 December 2020 |archive-url=https://web.archive.org/web/20201202002819/https://spectrum.ieee.org/semiconductors/devices/a-better-way-to-measure-progress-in-semiconductors |url-status=live }}</ref>




== पृष्ठभूमि ==
== पृष्ठभूमि ==
2018 तक, [[FinFET]] के अंतिम प्रतिस्थापन के लिए कई ट्रांजिस्टर आर्किटेक्चर प्रस्तावित किए गए थे, जिनमें से अधिकांश [[GAAFET]] की अवधारणा पर आधारित हैं: क्षैतिज और लंबवत नैनोवायर, क्षैतिज नैनोशीट ट्रांजिस्टर<ref>https://semiengineering.com/whats-different-about-next-gen-transistors/</ref><ref>https://spectrum.ieee.org/amp/intels-stacked-nanosheet-transistors-could-be-the-next-step-in-moores-law-2652903505</ref> (Samsung MBCFET, Intel Nanoribbon), वर्टिकल FET (VFET), पूरक FET (CFET), स्टैक्ड FET, और नेगेटिव-कैपेसिटेंस FET (NC-FET) जो अत्यधिक विभिन्न सामग्रियों का उपयोग करता है।<ref>https://semiengineering.com/transistor-options-beyond-3nm/</ref>
2018 तक, [[FinFET]] के अंतिम प्रतिस्थापन के लिए कई ट्रांजिस्टर आर्किटेक्चर प्रस्तावित किए गए थे, जिनमें से अधिकांश [[GAAFET|जीएएएफईटी]] की अवधारणा पर आधारित हैं: क्षैतिज और लंबवत नैनोवायर, क्षैतिज नैनोशीट ट्रांजिस्टर<ref>https://semiengineering.com/whats-different-about-next-gen-transistors/</ref><ref>https://spectrum.ieee.org/amp/intels-stacked-nanosheet-transistors-could-be-the-next-step-in-moores-law-2652903505</ref> (सैमसंग एमबीसीएफईटी, इंटेल नैनोरिबन), लंबवत एफईटी (वीएफईटी), पूरक एफईटी (सीएफईटी), स्टैक्ड एफईटी, और नेगेटिव-कैपेसिटेंस एफईटी (एनसी-एफईटी) जो अत्यधिक विभिन्न सामग्रियों का उपयोग करता है।<ref>https://semiengineering.com/transistor-options-beyond-3nm/</ref>
2018 के अंत में, TSMC के अध्यक्ष मार्क लियू ने भविष्यवाणी की कि चिप स्केलिंग 3 एनएम और 2 एनएम नोड्स तक जारी रहेगी;<ref name=tsmcsep2018/>चूंकि, 2019 तक, अन्य अर्धचालक विशेषज्ञ इस बारे में अनिर्णीत थे कि 3 एनएम से आगे के नोड व्यवहार्य हो सकते हैं या नहीं।<ref name=eeasiamar2018/>TSMC ने 2019 में 2 एनएम पर शोध प्रारंभ किया<ref>{{Citation|url=https://wccftech.com/tsmc-2nm-research-taiwan/|title=TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report|first=Ramish|last=Zafar|date=12 June 2019|access-date=23 September 2020|archive-date=7 November 2020|archive-url=https://web.archive.org/web/20201107234628/https://wccftech.com/tsmc-2nm-research-taiwan/|url-status=live}}</ref>- FinFET से GAAFET ट्रांजिस्टर प्रकार में परिवर्तन की अपेक्षा।<ref>{{citation | url = https://www.digitimes.com/news/a20200921VL201.html | title = Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips | date = 21 Sep 2020 | work = www.digitimes.com | access-date = 23 September 2020 | archive-date = 23 October 2020 | archive-url = https://web.archive.org/web/20201023051432/https://www.digitimes.com/news/a20200921VL201.html | url-status = live }}</ref> जुलाई 2021 में, TSMC को अपना 2 एनएम प्लांट बनाने के लिए सरकारी मंज़ूरी मिली थी। अगस्त 2020 में इसने सिंचु में 2 एनएम तकनीक के लिए आरएंडडी लैब का निर्माण प्रारंभ किया, जिसके 2021 तक आंशिक रूप से चालू होने की अपेक्षा है।<ref>{{citation | url = https://taipeitimes.com/News/front/archives/2020/08/26/2003742295 | title = TSMC developing 2nm tech at new R&D center | first = Lisa | last = Wang | date = 26 Aug 2020 | work = taipeitimes.com | access-date = 23 September 2020 | archive-date = 24 January 2021 | archive-url = https://web.archive.org/web/20210124141651/https://www.taipeitimes.com/News/front/archives/2020/08/26/2003742295 | url-status = live }}</ref> सितंबर 2020 में TSMC ने इसकी पुष्टि की और कहा कि वह मांग के आधार पर [[ताइचुंग]] में भी उत्पादन स्थापित कर सकती है।<ref>{{citation | url = https://focustaiwan.tw/sci-tech/202009230017 | title = TSMC to build 2nm wafer plant in Hsinchu | first1 = Chang | last1 = Chien-Chung | first2 = Frances | last2 = Huang | date = 23 Sep 2020 | work = focustaiwan.tw | access-date = 23 September 2020 | archive-date = 25 October 2020 | archive-url = https://web.archive.org/web/20201025160716/https://focustaiwan.tw/sci-tech/202009230017 | url-status = live }}</ref> [[ ताइवान आर्थिक दैनिक ]] (2020) के अनुसार अपेक्षाें 2023 के अंत में उच्च उपज जोखिम उत्पादन के लिए थीं।<ref>{{citation | url = https://www.gizchina.com/2020/09/23/tsmc-2nm-process-makes-a-significant-breakthrough/ | title = TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH | first = Efe | last = Udin | date = 23 Sep 2020 | work = www.gizchina.com | access-date = 24 September 2021 | archive-date = 19 October 2021 | archive-url = https://web.archive.org/web/20211019171632/https://www.gizchina.com/2020/09/23/tsmc-2nm-process-makes-a-significant-breakthrough/ }}</ref><ref>{{citation | url = https://news.mydrivers.com/1/714/714927.htm | language = Chinese | title = 台积电2nm工艺重大突破!2023年风险试产良率或达90% | date = 22 Sep 2020 | access-date = 24 September 2021 | archive-date = 24 September 2021 | archive-url = https://web.archive.org/web/20210924122618/https://news.mydrivers.com/1/714/714927.htm }}</ref> Nikkei, Inc. के अनुसार कंपनी को 2023 तक 2 एनएम के लिए उत्पादन उपकरण स्थापित करने की अपेक्षा है।<ref>{{Cite web|title=ताइवान ने सबसे उन्नत चिप संयंत्र के लिए TSMC को हरी झंडी दी|url=https://asia.nikkei.com/Business/Tech/Semiconductors/Taiwan-gives-TSMC-green-light-for-most-advanced-chip-plant|access-date=2021-08-24|website=Nikkei Asia|language=en-GB|archive-date=4 November 2021|archive-url=https://web.archive.org/web/20211104002128/https://asia.nikkei.com/Business/Tech/Semiconductors/Taiwan-gives-TSMC-green-light-for-most-advanced-chip-plant|url-status=live}}</ref>
 
इंटेल के 2019 रोडमैप ने क्रमशः 2025 और 2027 के लिए संभावित समतुल्य 3 एनएम और 2 एनएम नोड्स निर्धारित किए, और दिसंबर 2019 में 2029 में 1.4 एनएम उत्पादन की योजना की घोषणा की।<ref name="Cutress">{{Citation |last=Cutress |first=Ian |title=Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm |url=https://www.anandtech.com/show/15217/intels-manufacturing-roadmap-from-2019-to-2029 |work=www.anandtech.com |archive-url=https://web.archive.org/web/20210112092150/https://www.anandtech.com/show/15217/intels-manufacturing-roadmap-from-2019-to-2029 |access-date=2020-09-23 |archive-date=2021-01-12 |url-status=live}}</ref>
2018 के अंत में, टीएसएमसी के अध्यक्ष मार्क लियू ने भविष्यवाणी की कि चिप स्केलिंग 3 एनएम और 2 एनएम नोड्स तक जारी रहेगी;<ref name="tsmcsep2018" /> चूंकि, 2019 तक, अन्य अर्धचालक विशेषज्ञ इस बारे में अनिर्णीत थे कि क्या 3 एनएम से आगे के नोड व्यवहार्य हो सकते हैं।<ref name="eeasiamar2018" /> टीएसएमसी ने 2019<ref>{{Citation|url=https://wccftech.com/tsmc-2nm-research-taiwan/|title=TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report|first=Ramish|last=Zafar|date=12 June 2019|access-date=23 September 2020|archive-date=7 November 2020|archive-url=https://web.archive.org/web/20201107234628/https://wccftech.com/tsmc-2nm-research-taiwan/|url-status=live}}</ref> में FinFET से जीएएएफईटी ट्रांजिस्टर प्रकार में संक्रमण की अपेक्षा में 2 एनएम पर शोध प्रारंभ किया था।<ref>{{citation | url = https://www.digitimes.com/news/a20200921VL201.html | title = Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips | date = 21 Sep 2020 | work = www.digitimes.com | access-date = 23 September 2020 | archive-date = 23 October 2020 | archive-url = https://web.archive.org/web/20201023051432/https://www.digitimes.com/news/a20200921VL201.html | url-status = live }}</ref> जुलाई 2021 में, टीएसएमसी को अपना 2 एनएम प्लांट बनाने के लिए सरकारी अनुमति मिली थी। अगस्त 2020 में इसने सिंचु में 2 एनएम प्रौद्योगिकी के लिए एक अनुसंधान एवं विकास प्रयोगशाला का निर्माण प्रारंभ किया, जिसके 2021 तक आंशिक रूप से चालू होने की अपेक्षा है।<ref>{{citation | url = https://taipeitimes.com/News/front/archives/2020/08/26/2003742295 | title = TSMC developing 2nm tech at new R&D center | first = Lisa | last = Wang | date = 26 Aug 2020 | work = taipeitimes.com | access-date = 23 September 2020 | archive-date = 24 January 2021 | archive-url = https://web.archive.org/web/20210124141651/https://www.taipeitimes.com/News/front/archives/2020/08/26/2003742295 | url-status = live }}</ref> सितंबर 2020 में टीएसएमसी ने इसकी पुष्टि की और कहा कि वह मांग के आधार पर [[ताइचुंग]] में भी उत्पादन स्थापित कर सकती है।<ref>{{citation | url = https://focustaiwan.tw/sci-tech/202009230017 | title = TSMC to build 2nm wafer plant in Hsinchu | first1 = Chang | last1 = Chien-Chung | first2 = Frances | last2 = Huang | date = 23 Sep 2020 | work = focustaiwan.tw | access-date = 23 September 2020 | archive-date = 25 October 2020 | archive-url = https://web.archive.org/web/20201025160716/https://focustaiwan.tw/sci-tech/202009230017 | url-status = live }}</ref> [[ ताइवान आर्थिक दैनिक |ताइवान आर्थिक दैनिक]] (2020) के अनुसार अपेक्षाें 2023 के अंत में उच्च उपज जोखिम उत्पादन के लिए थीं।<ref>{{citation | url = https://www.gizchina.com/2020/09/23/tsmc-2nm-process-makes-a-significant-breakthrough/ | title = TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH | first = Efe | last = Udin | date = 23 Sep 2020 | work = www.gizchina.com | access-date = 24 September 2021 | archive-date = 19 October 2021 | archive-url = https://web.archive.org/web/20211019171632/https://www.gizchina.com/2020/09/23/tsmc-2nm-process-makes-a-significant-breakthrough/ }}</ref><ref>{{citation | url = https://news.mydrivers.com/1/714/714927.htm | language = Chinese | title = 台积电2nm工艺重大突破!2023年风险试产良率或达90% | date = 22 Sep 2020 | access-date = 24 September 2021 | archive-date = 24 September 2021 | archive-url = https://web.archive.org/web/20210924122618/https://news.mydrivers.com/1/714/714927.htm }}</ref> निक्केई के अनुसार कंपनी को 2023 तक 2 एनएम के लिए उत्पादन उपकरण स्थापित करने की अपेक्षा है।<ref>{{Cite web|title=ताइवान ने सबसे उन्नत चिप संयंत्र के लिए TSMC को हरी झंडी दी|url=https://asia.nikkei.com/Business/Tech/Semiconductors/Taiwan-gives-TSMC-green-light-for-most-advanced-chip-plant|access-date=2021-08-24|website=Nikkei Asia|language=en-GB|archive-date=4 November 2021|archive-url=https://web.archive.org/web/20211104002128/https://asia.nikkei.com/Business/Tech/Semiconductors/Taiwan-gives-TSMC-green-light-for-most-advanced-chip-plant|url-status=live}}</ref>
2020 के अंत में, सत्रह [[यूरो]]पीय संघ के देशों ने अपने संपूर्ण अर्धचालक उद्योग को विकसित करने के लिए संयुक्त घोषणा पर हस्ताक्षर किए, जिसमें 2 एनएम जितना छोटा प्रक्रिया नोड विकसित करना, साथ ही साथ कस्टम प्रक्रियार का डिजाइन और निर्माण करना शामिल है, जिसमें 145 बिलियन यूरो तक की धनराशि आवंटित की गई है।<ref>{{citation | url = https://www.eetimes.eu/eu-signs-e145bn-declaration-to-develop-next-gen-processors-and-2nm-technology/ | title = EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology | first = Nitin | last = Dahad | date = 9 Dec 2020 | work = www.eetimes.eu | access-date = 9 January 2021 | archive-date = 10 January 2021 | archive-url = https://web.archive.org/web/20210110005422/https://www.eetimes.eu/eu-signs-e145bn-declaration-to-develop-next-gen-processors-and-2nm-technology/ | url-status = live }}</ref><ref>{{citation | url = https://ec.europa.eu/digital-single-market/en/news/joint-declaration-processors-and-semiconductor-technologies | title = Joint declaration on processors and semiconductor technologies | publisher = EU | date = 7 Dec 2020 | access-date = 9 January 2021 | archive-date = 11 January 2021 | archive-url = https://web.archive.org/web/20210111074903/https://ec.europa.eu/digital-single-market/en/news/joint-declaration-processors-and-semiconductor-technologies | url-status = live }}</ref>
 
इंटेल के 2019 रोडमैप ने क्रमशः 2025 और 2027 के लिए संभावित समतुल्य 3 एनएम और 2 एनएम नोड्स निर्धारित करता है, और दिसंबर 2019 में 2029 में 1.4 एनएम उत्पादन की योजना की घोषणा की।<ref name="Cutress">{{Citation |last=Cutress |first=Ian |title=Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm |url=https://www.anandtech.com/show/15217/intels-manufacturing-roadmap-from-2019-to-2029 |work=www.anandtech.com |archive-url=https://web.archive.org/web/20210112092150/https://www.anandtech.com/show/15217/intels-manufacturing-roadmap-from-2019-to-2029 |access-date=2020-09-23 |archive-date=2021-01-12 |url-status=live}}</ref>
 
2020 के अंत में, सत्रह [[यूरो|यूरोपीय]] संघ के देशों ने अपने संपूर्ण अर्धचालक उद्योग को विकसित करने के लिए संयुक्त घोषणा पर हस्ताक्षर किए, जिसमें 2 एनएम के साथ-साथ विकास प्रक्रिया नोड्स के साथ-साथ कस्टम प्रोसेसर का डिजाइन और निर्माण सम्मिलित है, जो 145 बिलियन यूरो तक की धनराशि प्रदान करता है।<ref>{{citation | url = https://www.eetimes.eu/eu-signs-e145bn-declaration-to-develop-next-gen-processors-and-2nm-technology/ | title = EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology | first = Nitin | last = Dahad | date = 9 Dec 2020 | work = www.eetimes.eu | access-date = 9 January 2021 | archive-date = 10 January 2021 | archive-url = https://web.archive.org/web/20210110005422/https://www.eetimes.eu/eu-signs-e145bn-declaration-to-develop-next-gen-processors-and-2nm-technology/ | url-status = live }}</ref><ref>{{citation | url = https://ec.europa.eu/digital-single-market/en/news/joint-declaration-processors-and-semiconductor-technologies | title = Joint declaration on processors and semiconductor technologies | publisher = EU | date = 7 Dec 2020 | access-date = 9 January 2021 | archive-date = 11 January 2021 | archive-url = https://web.archive.org/web/20210111074903/https://ec.europa.eu/digital-single-market/en/news/joint-declaration-processors-and-semiconductor-technologies | url-status = live }}</ref>
 
मई 2021 में, [[आईबीएम]] ने घोषणा की कि उसने 12 एनएम की गेट लंबाई के साथ तीन सिलिकॉन परत नैनोशीट्स का उपयोग करके 2 एनएम क्लास जीएएएफईटी ट्रांजिस्टर के साथ चिप्स का उत्पादन किया है।<ref>{{Citation| last=Nellis| first=Stephen| date=6 May 2021| title=IBM unveils 2-nanometer chip technology for faster computing| language=en| work=Reuters| url=https://www.reuters.com/article/us-ibm-semiconductors-idUSKBN2CN12S| access-date=2021-05-06| archive-date=2021-05-07| archive-url=https://web.archive.org/web/20210507065900/https://www.reuters.com/article/us-ibm-semiconductors-idUSKBN2CN12S| url-status=live}}</ref><ref>{{citation | url = https://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/ibm-introduces-the-worlds-first-2nm-node-chip | title = IBM Introduces the World's First 2-nm Node Chip | first = Dexter | last = Johnson | date = 6 May 2021 | work = IEEE Spectrum | access-date = 7 May 2021 | archive-date = 7 May 2021 | archive-url = https://web.archive.org/web/20210507092935/https://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/ibm-introduces-the-worlds-first-2nm-node-chip | url-status = live }}</ref><ref>12&nbsp;nm gate length is the dimension defined by the [[International Roadmap for Devices and Systems|IRDS]] 2020 to be associated with the "1.5&nbsp;nm" process node: [https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MM.pdf] {{Webarchive|url=https://web.archive.org/web/20210624233911/https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MM.pdf|date=24 June 2021}}</ref>
मई 2021 में, [[आईबीएम]] ने घोषणा की कि उसने 12 एनएम की गेट लंबाई के साथ तीन सिलिकॉन परत नैनोशीट्स का उपयोग करके 2 एनएम क्लास जीएएएफईटी ट्रांजिस्टर के साथ चिप्स का उत्पादन किया है।<ref>{{Citation| last=Nellis| first=Stephen| date=6 May 2021| title=IBM unveils 2-nanometer chip technology for faster computing| language=en| work=Reuters| url=https://www.reuters.com/article/us-ibm-semiconductors-idUSKBN2CN12S| access-date=2021-05-06| archive-date=2021-05-07| archive-url=https://web.archive.org/web/20210507065900/https://www.reuters.com/article/us-ibm-semiconductors-idUSKBN2CN12S| url-status=live}}</ref><ref>{{citation | url = https://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/ibm-introduces-the-worlds-first-2nm-node-chip | title = IBM Introduces the World's First 2-nm Node Chip | first = Dexter | last = Johnson | date = 6 May 2021 | work = IEEE Spectrum | access-date = 7 May 2021 | archive-date = 7 May 2021 | archive-url = https://web.archive.org/web/20210507092935/https://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/ibm-introduces-the-worlds-first-2nm-node-chip | url-status = live }}</ref><ref>12&nbsp;nm gate length is the dimension defined by the [[International Roadmap for Devices and Systems|IRDS]] 2020 to be associated with the "1.5&nbsp;nm" process node: [https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MM.pdf] {{Webarchive|url=https://web.archive.org/web/20210624233911/https://irds.ieee.org/images/files/pdf/2020/2020IRDS_MM.pdf|date=24 June 2021}}</ref>
जुलाई 2021 में, इंटेल ने 2021 के बाद से अपने प्रक्रिया नोड रोडमैप का अनावरण किया। कंपनी ने Intel 20A नामक उनके 2एनएम प्रक्रिया नोड की पुष्टि की,{{refn|group="notes"|Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.<ref name=intelroadmap/>}} का संदर्भ [[एंगस्ट्रॉम]] से है, जो 0.1 नैनोमीटर के बराबर इकाई है।<ref name=intelroadmap>{{Cite web|last=Cutress|first=Dr Ian|title=Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!|url=https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros|access-date=2021-07-27|date=26 July 2021|website=www.anandtech.com|archive-date=3 November 2021|archive-url=https://web.archive.org/web/20211103110548/https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros|url-status=live}}</ref> उसी समय उन्होंने नई प्रक्रिया नोड नामकरण योजना प्रारंभ की जिसने उनके उत्पाद नामों को उनके मुख्य प्रतिस्पर्धियों से समान पदनामों के साथ संरेखित किया।<ref name=ee20a>{{citation | url = https://www.eetimes.com/intel-charts-manufacturing-course-to-2025/ | title = Intel Charts Manufacturing Course to 2025 | date = 27 July 2021 | work = www.eetimes.com | first = Brian | last = Santo | access-date = 11 August 2021 | archive-date = 19 August 2021 | archive-url = https://web.archive.org/web/20210819202119/https://www.eetimes.com/intel-charts-manufacturing-course-to-2025/ }}</ref> Intel के 20A नोड को FinFET से गेट-ऑल-अराउंड ट्रांजिस्टर (GAAFET) में जाने वाला पहला नोड होने का अनुमान है; Intel के वर्जन का नाम '[[RibbonFET]]' है।<ref name=ee20a/>उनके 2021 रोडमैप ने 2024 में वॉल्यूम उत्पादन के लिए Intel 20A नोड और 2025 के लिए Intel 18A निर्धारित किया।<ref name=intelroadmap /><ref name=ee20a/>
 
जुलाई 2021 में, इंटेल ने 2021 के बाद से अपने प्रक्रिया नोड रोडमैप का अनावरण किया। कंपनी ने intel 20A नामक उनके 2एनएम प्रक्रिया नोड की पुष्टि की,{{refn|group="notes"|Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.<ref name=intelroadmap/>}} A का संदर्भ [[एंगस्ट्रॉम]] से है, जो 0.1 नैनोमीटर के बराबर इकाई है।<ref name="intelroadmap">{{Cite web|last=Cutress|first=Dr Ian|title=Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!|url=https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros|access-date=2021-07-27|date=26 July 2021|website=www.anandtech.com|archive-date=3 November 2021|archive-url=https://web.archive.org/web/20211103110548/https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros|url-status=live}}</ref> उसी समय उन्होंने नई प्रक्रिया नोड नामकरण योजना प्रारंभ की जिसने उनके उत्पाद नामों को उनके मुख्य प्रतिस्पर्धियों से समान पदनामों के साथ संरेखित किया।<ref name="ee20a">{{citation | url = https://www.eetimes.com/intel-charts-manufacturing-course-to-2025/ | title = Intel Charts Manufacturing Course to 2025 | date = 27 July 2021 | work = www.eetimes.com | first = Brian | last = Santo | access-date = 11 August 2021 | archive-date = 19 August 2021 | archive-url = https://web.archive.org/web/20210819202119/https://www.eetimes.com/intel-charts-manufacturing-course-to-2025/ }}</ref> इंटेल के 20A नोड को FinFET से गेट-ऑल-अराउंड ट्रांजिस्टर (जीएएएफईटी) में जाने वाला पहला नोड होने का अनुमान है; इंटेल के वर्जन का नाम '[[RibbonFET|रिबनएफईटी]]' है।<ref name="ee20a" /> उनके 2021 रोडमैप ने 2024 में वॉल्यूम उत्पादन के लिए इंटेल 20A नोड और 2025 के लिए इंटेल 18A निर्धारित किया गया हैं।<ref name="intelroadmap" /><ref name="ee20a" />


अक्टूबर 2021 में, सैमसंग फाउंड्री फोरम 2021 में, सैमसंग ने घोषणा की कि वह 2025 में अपने एमबीसीएफईटी (मल्टी-ब्रिज चैनल एफईटी, सैमसंग का जीएएएफईटी का संस्करण) 2 एनएम प्रक्रिया के साथ बड़े पैमाने पर उत्पादन प्रारंभ करेगा।<ref name=":1">{{cite web|url=https://news.samsung.com/global/samsung-foundry-innovations-power-the-future-of-big-data-ai-ml-and-smart-connected-devices|title=Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices|website=Samsung|date=2021-10-07|access-date=9 May 2022|archive-date=8 April 2022|archive-url=https://web.archive.org/web/20220408182045/https://news.samsung.com/global/samsung-foundry-innovations-power-the-future-of-big-data-ai-ml-and-smart-connected-devices|url-status=live}}</ref>
अक्टूबर 2021 में, सैमसंग फाउंड्री फोरम 2021 में, सैमसंग ने घोषणा की कि वह 2025 में अपने एमबीसीएफईटी (मल्टी-ब्रिज चैनल एफईटी, सैमसंग का जीएएएफईटी का संस्करण) 2 एनएम प्रक्रिया के साथ बड़े पैमाने पर उत्पादन प्रारंभ करेगा।<ref name=":1">{{cite web|url=https://news.samsung.com/global/samsung-foundry-innovations-power-the-future-of-big-data-ai-ml-and-smart-connected-devices|title=Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices|website=Samsung|date=2021-10-07|access-date=9 May 2022|archive-date=8 April 2022|archive-url=https://web.archive.org/web/20220408182045/https://news.samsung.com/global/samsung-foundry-innovations-power-the-future-of-big-data-ai-ml-and-smart-connected-devices|url-status=live}}</ref>
अप्रैल 2022 में, TSMC ने घोषणा की कि इसकी GAAFET N2 प्रक्रिया प्रौद्योगिकी 2024 के अंत में जोखिम उत्पादन चरण और 2025 में उत्पादन चरण में प्रवेश करेगी।<ref name=tsmc_rm_2022>{{cite web|url=https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming|title=TSMC रोडमैप अपडेट: 2024 में N3E, 2026 में N2, आने वाले बड़े बदलाव|website=AnandTech|date=2022-04-22|access-date=9 May 2022|archive-date=9 May 2022|archive-url=https://web.archive.org/web/20220509122111/https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming|url-status=live}</ref> जुलाई 2022 में, TSMC ने घोषणा की कि इसकी N2 प्रक्रिया टेक्नोलॉजी में बैकसाइड पावर डिलीवरी की सुविधा होगी और यह iso पावर पर 10–15% उच्च प्रदर्शन या iso प्रदर्शन पर 20–30% कम पावर और 20% से अधिक उच्च ट्रांजिस्टर घनत्व की पेशकश करेगी। N3E की तुलना में। रेफरी>{{cite web|url=https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2022-07/185efaefea866a5e944499cda9eeecc65315449c/TSMC%202Q22%20Transcript.pdf|title=TSMC Q2 2022 अर्निंग कॉल|website=TSMC|date=2022-07-14|access-date=22 July 2022|archive-date=15 July 2022|archive-url=https://web.archive.org/web/20220715105421/https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2022-07/185efaefea866a5e944499cda9eeecc65315449c/TSMC%202Q22%20Transcript.pdf|url-status=live}}</ref>


जुलाई 2022 में, सैमसंग ने कंपनी की आगामी प्रक्रिया टेक्नोलॉजी 2GAP (2एनएम गेट ऑल-अराउंड प्रोडक्शन) के बारे में कई खुलासे किए: बड़े पैमाने पर उत्पादन में 2025 लॉन्च के लिए प्रक्रिया ट्रैक पर बनी हुई है; 3जीएपी में नैनोशीट्स की संख्या 3 से बढ़कर 4 हो जाएगी; कंपनी मेटलाइजेशन के कई सुधारों पर काम करती है, जैसे कि लो-रेसिस्टेंस वियास के लिए सिंगल-ग्रेन मेटल और 2GAP और उससे आगे के लिए डायरेक्ट-एच्च्ड मेटल इंटरकनेक्ट।<ref name=sams_wikichip>{{cite web
अप्रैल 2022 में, टीएसएमसी ने घोषणा की कि इसकी जीएएएफईटी एन2 प्रक्रिया प्रौद्योगिकी 2024 के अंत में जोखिम उत्पादन चरण और 2025 में उत्पादन चरण में प्रवेश करेगी।<ref name="tsmc_rm_2022"><nowiki>{{cite web|url=</nowiki>https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming|title=TSMC रोडमैप अपडेट: 2024 में N3E, 2026 में N2, आने वाले बड़े बदलाव|website=AnandTech|date=2022-04-22|access-date=9 May 2022|archive-date=9 May 2022|archive-url=https://web.archive.org/web/20220509122111/https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming|url-status=live}</ref> जुलाई 2022 में, टीएसएमसी ने घोषणा की कि इसकी एन2 प्रक्रिया प्रौद्योगिकी में बैकसाइड पावर डिलीवरी की सुविधा होगी औरयह आईएसओ पावर पर 10–15% उच्च प्रदर्शन या आईएसओ प्रदर्शन पर 20–30% कम पावर और N3E की तुलना में 20% से अधिक उच्च ट्रांजिस्टर घनत्व प्रदान करेगी।<ref>{{cite web|url=https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2022-07/185efaefea866a5e944499cda9eeecc65315449c/TSMC%202Q22%20Transcript.pdf|title=TSMC Q2 2022 अर्निंग कॉल|website=TSMC|date=2022-07-14|access-date=22 July 2022|archive-date=15 July 2022|archive-url=https://web.archive.org/web/20220715105421/https://investor.tsmc.com/english/encrypt/files/encrypt_file/reports/2022-07/185efaefea866a5e944499cda9eeecc65315449c/TSMC%202Q22%20Transcript.pdf|url-status=live}}</ref>
 
जुलाई 2022 में, सैमसंग ने कंपनी की आगामी प्रक्रिया प्रौद्योगिकी 2GAP ('''2'''एनएम '''गे'''ट '''ऑ'''ल-अराउंड '''प्रो'''डक्शन) के बारे में कई खुलासे किए: बड़े पैमाने पर उत्पादन में 2025 लॉन्च के लिए प्रक्रिया ट्रैक पर बनी हुई है; 3जीएपी में नैनोशीट्स की संख्या 3 से बढ़कर 4 हो जाएगी; कंपनी मेटलाइजेशन के कई सुधारों पर काम करती है, जैसे कि लो-रेसिस्टेंस वियास के लिए सिंगल-ग्रेन मेटल और 2GAP और उससे आगे के लिए डायरेक्ट-एच्च्ड मेटल इंटरकनेक्ट।<ref name="sams_wikichip">{{cite web
  |url=https://fuse.wikichip.org/news/6932/samsung-3nm-gaafet-enters-risk-production-discusses-next-gen-improvements/
  |url=https://fuse.wikichip.org/news/6932/samsung-3nm-gaafet-enters-risk-production-discusses-next-gen-improvements/
  |title=सैमसंग 3nm GAAFET ने जोखिम उत्पादन में प्रवेश किया; अगली पीढ़ी के सुधारों पर चर्चा करता है|website=WikiChip Fuse
  |title=सैमसंग 3nm GAAFET ने जोखिम उत्पादन में प्रवेश किया; अगली पीढ़ी के सुधारों पर चर्चा करता है|website=WikiChip Fuse
Line 36: Line 42:
}}</ref>
}}</ref>


अगस्त 2022 में, जापानी कंपनियों के संघ ने 2 एनएम चिप्स के निर्माण के लिए [[ तेज़ ]] नामक सरकारी समर्थन के साथ नए उद्यम को वित्त पोषित किया। रैपिडस ने [[आईएमईसी]] के साथ समझौते पर हस्ताक्षर किए
अगस्त 2022 में, जापानी कंपनियों के एक संघ ने 2 एनएम चिप्स के निर्माण के लिए [[ तेज़ |रैपिडस]] नामक सरकारी समर्थन के साथ एक नया उद्यम वित्त पोषित किया। रैपिडस ने दिसंबर 2022 में [[आईएमईसी]]<ref>{{Cite web |last=Manners |first=David |date=2022-12-16 |title=इमेक और रैपिडस 2एनएम के लिए साइन अप करते हैं|url=https://www.electronicsweekly.com/news/business/811278-2022-12/ |website=Electronics Weekly |language=en}}</ref> और आईबीएम<ref>https://www.pcmag.com/news/japan-to-manufacture-2एनएम-chips-with-a-little-help-from-ibm</ref> के साथ समझौते पर हस्ताक्षर किए।
रेफरी>{{Cite web |last=Manners |first=David |date=2022-12-16 |title=इमेक और रैपिडस 2एनएम के लिए साइन अप करते हैं|url=https://www.electronicsweekly.com/news/business/811278-2022-12/ |website=Electronics Weekly |language=en}}<nowiki></ref></nowiki> और आईबीएम रेफरी>{{Cite web |title=जापान IBM की थोड़ी सी मदद से 2एनएम चिप्स का निर्माण करेगा|url=[https://www.pcmag.com/news/japan-to-manufacture-2nm-chips-with-a-little-help-from-ibm https://www.pcmag.com/news/japan-to-manufacture-2एनएम-chips-with-a-little-help-from-ibm] |date=2022-12-13 |first=Matthew |last=Humphries |website=PCMAG |language=en}</ref> दिसंबर 2022 में।


अप्रैल 2023 में, अपने प्रौद्योगिकी संगोष्ठी में, TSMC ने अपने 2एनएम प्रौद्योगिकी प्लेटफॉर्म की दो और प्रक्रियाओं की शुरुआत की: N2P जिसमें बैकसाइड पावर डिलीवरी और 2026 के लिए निर्धारित और उच्च-प्रदर्शन अनुप्रयोगों के लिए N2X शामिल है। यह भी पता चला कि एआरएम कॉर्टेक्स-ए715 कोर उच्च-प्रदर्शन मानक पुस्तकालय का उपयोग करते हुए एन2 प्रक्रिया पर आधारित है, आईएसओ पावर पर 16.4% गति प्राप्त करता है, आईएसओ गति पर 37.2% बिजली बचाता है, या ~ 10% गति प्राप्त करता है और ~ 20% बचाता है। 3-2 फिन लाइब्रेरी का उपयोग करते हुए N3E पर कोर फैबेड की तुलना में आइसो वोल्टेज (0.8 V) पर साथ बिजली। रेफरी नाम = tsmc2023>
अप्रैल 2023 में, अपने प्रौद्योगिकी संगोष्ठी में, टीएसएमसी ने अपने 2एनएम प्रौद्योगिकी प्लेटफॉर्म की दो और प्रक्रियाओं की प्रारंभ की, जिसमें बैकसाइड पावर डिलीवरी और 2026 के लिए निर्धारित और उच्च-प्रदर्शन अनुप्रयोगों के लिए N2X सम्मिलित हैं। यह भी पता चला कि एआरएम कॉर्टेक्स-ए715 कोर उच्च-प्रदर्शन मानक पुस्तकालय का उपयोग करते हुए एन2 प्रक्रिया पर आधारित है, आईएसओ गति पर 37.2% बिजली बचाता है, या ~ 10% गति प्राप्त करता है और 3-2 फिन लाइब्रेरी का उपयोग करके एन3ई पर कोर फैब किए गए कोर की तुलना में आईएसओ वोल्टेज (0.8 वी) पर एक साथ ~ 20% बिजली बचाता है।<ref>{{cite web
{{cite web
|url=https://www.anandtech.com/show/18832/tsmc-outlines-2nm-plans-n2p-brings-backside-power-delivery-in-2026-n2x-added-to-roadmap
|url=https://www.anandtech.com/show/18832/tsmc-outlines-2nm-plans-n2p-brings-backside-power-delivery-in-2026-n2x-added-to-roadmap
|title=TSMC ने 2nm योजनाओं की रूपरेखा दी: N2P 2026 में बैकसाइड पावर डिलीवरी लाता है, N2X को रोडमैप में जोड़ा गया|website=AnandTech
|title=TSMC ने 2nm योजनाओं की रूपरेखा दी: N2P 2026 में बैकसाइड पावर डिलीवरी लाता है, N2X को रोडमैप में जोड़ा गया|website=AnandTech
Line 49: Line 53:
{| class="wikitable" style="text-align:center"
{| class="wikitable" style="text-align:center"
!
!
![[Samsung Electronics|Samsung]]<ref>{{cite web
![[Samsung Electronics|सैमसंग]]<ref>{{cite web
  |url=https://www.anandtech.com/print/16995/samsung-foundry-2nm-silicon-in-2025
  |url=https://www.anandtech.com/print/16995/samsung-foundry-2nm-silicon-in-2025
  |title=Samsung Foundry: 2nm Silicon in 2025
  |title=Samsung Foundry: 2nm Silicon in 2025
Line 55: Line 59:
  |date=2021-10-06
  |date=2021-10-06
}}</ref><ref name=sams_wikichip />
}}</ref><ref name=sams_wikichip />
! colspan="3" | [[TSMC]]
! colspan="3" | [[TSMC|टीएसएमसी]]
! colspan="2" | [[Intel]]
! colspan="2" | [[Intel|इंटेल]]
|-
|-
! Process name
! प्रक्रिया नाम
| 2GAP
| 2GAP
| N2
| N2
Line 66: Line 70:
| 18A
| 18A
|-
|-
! Transistor type
! ट्रांजिस्टर प्रकार
| [[MBCFET]]
| [[MBCFET]]
| [[GAAFET]]
| [[GAAFET|जीएएएफईटी]]
| [[GAAFET]]
| [[GAAFET|जीएएएफईटी]]
| [[GAAFET]]
| [[GAAFET|जीएएएफईटी]]
| [[RibbonFET]]
| [[RibbonFET|रिबनएफईटी]]
| [[RibbonFET]]
| [[RibbonFET|रिबनएफईटी]]
|-
|-
! Transistor density (MTr/mm<sup>2</sup>)
! ट्रांजिस्टर घनत्व
(MTr/mm<sup>2</sup>)
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
Line 82: Line 87:
| {{Unknown}}
| {{Unknown}}
|-
|-
! SRAM bit-cell size (μm<sup>2</sup>)
! एसरैम बिट-सेल आकार
(μm<sup>2</sup>)
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
Line 90: Line 96:
| {{Unknown}}
| {{Unknown}}
|-
|-
! Transistor gate pitch (एनएम)
! ट्रांजिस्टर गेट पिच
(एनएम)
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
Line 98: Line 105:
| {{Unknown}}
| {{Unknown}}
|-
|-
! Interconnect pitch (एनएम)
! इंटरकनेक्ट पिच
(एनएम)
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
| {{Unknown}}
Line 106: Line 114:
| {{Unknown}}
| {{Unknown}}
|-
|-
! Release status
! रिलीज की स्थिति
| 2025 volume production<ref name=":1" />
| 2025 मात्रा में उत्पादन<ref name=":1" />
| 2024 H2 risk production<br>2025 volume production<ref name="tsmc_rm_2022" />
| 2024 H2 जोखिम उत्पादन
| 2026 production readiness<ref name=tsmc2023 />
2025 मात्रा में उत्पादन<ref name="tsmc_rm_2022" />
| 2026 उत्पादन की तैयारी
| {{unknown}}
| {{unknown}}
| 2024 volume production<ref name="ee20a" /><ref name=intelroadmap />
| 2024 मात्रा में उत्पादन<ref name="ee20a" /><ref name=intelroadmap />
| 2025 production<ref name="ee20a" /><ref name=intelroadmap />
| 2025 उत्पादन<ref name="ee20a" /><ref name=intelroadmap />
|-
|-
|}
|}




== 2 एनएम से परे ==
== 2 एनएम के बाहर ==
2008 में ब्रिटेन के शोधकर्ताओं ने परमाणु मोटा और दस परमाणु चौड़ा ट्रांजिस्टर बनाया था। वे भविष्य की कंप्यूटिंग के आधार के रूप में सिलिकॉन के संभावित विकल्प [[ग्राफीन]] से उकेरे गए थे। ग्राफीन कार्बन की चपटी चादरों से मधुकोश व्यवस्था में बनी सामग्री है, और प्रमुख दावेदार है। ब्रिटेन के [[मैनचेस्टर विश्वविद्यालय]] की टीम ने इस समय कुछ सबसे छोटे ट्रांजिस्टर बनाने के लिए इसका इस्तेमाल किया: केवल 1 एनएम के उपकरण जिनमें केवल कुछ कार्बन रिंग होते हैं।<ref>[http://www.newscientist.com/article/dn13730-atomthick-material-runs-rings-around-silicon.html Atom-thick material runs rings around silicon]</ref>
2008 में ब्रिटेन के शोधकर्ताओं ने परमाणु मोटा और दस परमाणु चौड़ा ट्रांजिस्टर बनाया था। वे भविष्य की कंप्यूटिंग के आधार के रूप में सिलिकॉन के संभावित विकल्प [[ग्राफीन]] से उकेरे गए थे। ग्राफीन कार्बन की चपटी चादरों से मधुकोश व्यवस्था में बनी सामग्री है, और प्रमुख दावेदार है। ब्रिटेन के [[मैनचेस्टर विश्वविद्यालय]] की टीम ने इस समय कुछ सबसे छोटे ट्रांजिस्टर बनाने के लिए इसका उपयोग किया: केवल 1 एनएम के उपकरण जिनमें केवल कुछ कार्बन रिंग होते हैं।<ref>[http://www.newscientist.com/article/dn13730-atomthick-material-runs-rings-around-silicon.html Atom-thick material runs rings around silicon]</ref>
2012 में, [[एकल-परमाणु ट्रांजिस्टर]] को सिलिकॉन सतह (दो काफी बड़े इलेक्ट्रोड के बीच) से जुड़े [[फास्फोरस]] परमाणु का उपयोग करके बनाया गया था।<ref>{{Cite journal | doi = 10.1038/nnano.2012.21| title = एकल-परमाणु ट्रांजिस्टर| journal = Nature Nanotechnology| volume = 7| issue = 4| pages = 242| year = 2012| last1 = Fuechsle | first1 = M.| last2 = Miwa | first2 = J. A.| last3 = Mahapatra | first3 = S.| last4 = Ryu | first4 = H.| last5 = Lee | first5 = S.| last6 = Warschkow | first6 = O.| last7 = Hollenberg | first7 = L. C. L.| last8 = Klimeck | first8 = G.| last9 = Simmons | first9 = M. Y.}}</ref> इस ट्रांजिस्टर को 180 [[ पीकोमीटर ]] ट्रांजिस्टर कहा जा सकता है, फॉस्फोरस परमाणु का [[वैन डेर वाल्स त्रिज्या]]; चूंकि इसकी [[सहसंयोजक त्रिज्या]] सिलिकॉन से बंधी होने की संभावना कम है।<ref>{{cite web |url=http://www.abc.net.au/science/articles/2012/02/20/3434739.htm |title=टीम ने बनाया दुनिया का सबसे छोटा ट्रांजिस्टर|access-date=28 May 2013}}</ref> इससे छोटे ट्रांजिस्टर बनाने के लिए या तो छोटे परमाणु त्रिज्या वाले तत्वों का उपयोग करना होगा, या उपपरमाण्विक कणों जैसे इलेक्ट्रॉनों या प्रोटॉनों का उपयोग कार्यात्मक ट्रांजिस्टर के रूप में करना होगा।
 
2012 में, [[एकल-परमाणु ट्रांजिस्टर]] को सिलिकॉन सतह (दो काफी बड़े इलेक्ट्रोड के बीच) से जुड़े [[फास्फोरस]] परमाणु का उपयोग करके बनाया गया था।<ref>{{Cite journal | doi = 10.1038/nnano.2012.21| title = एकल-परमाणु ट्रांजिस्टर| journal = Nature Nanotechnology| volume = 7| issue = 4| pages = 242| year = 2012| last1 = Fuechsle | first1 = M.| last2 = Miwa | first2 = J. A.| last3 = Mahapatra | first3 = S.| last4 = Ryu | first4 = H.| last5 = Lee | first5 = S.| last6 = Warschkow | first6 = O.| last7 = Hollenberg | first7 = L. C. L.| last8 = Klimeck | first8 = G.| last9 = Simmons | first9 = M. Y.}}</ref> इस ट्रांजिस्टर को 180 [[ पीकोमीटर |पीकोमीटर]] ट्रांजिस्टर कहा जा सकता है, फॉस्फोरस परमाणु का [[वैन डेर वाल्स त्रिज्या]]; चूंकि इसकी [[सहसंयोजक त्रिज्या]] सिलिकॉन से बंधी होने की संभावना कम है।<ref>{{cite web |url=http://www.abc.net.au/science/articles/2012/02/20/3434739.htm |title=टीम ने बनाया दुनिया का सबसे छोटा ट्रांजिस्टर|access-date=28 May 2013}}</ref> इससे छोटे ट्रांजिस्टर बनाने के लिए या तो छोटे परमाणु त्रिज्या वाले तत्वों का उपयोग करना होगा, या उपपरमाण्विक कणों जैसे इलेक्ट्रॉनों या प्रोटॉनों का उपयोग कार्यात्मक ट्रांजिस्टर के रूप में करना होगा।
 
2016 में [[ लॉरेंस बर्कले राष्ट्रीय प्रयोगशाला |लॉरेंस बर्कले राष्ट्रीय प्रयोगशाला]] के शोधकर्ताओं ने 1-नैनोमीटर गेट के साथ ट्रांजिस्टर बनाया।<ref>{{Cite web|url=http://newscenter.lbl.gov/2016/10/06/smallest-transistor-1-nm-gate/|title=Smallest. Transistor. Ever. {{!}} Berkeley Lab|last=Yang|first=Sarah|date=2016-10-06|website=News Center|access-date=2016-10-08}}</ref>
 
जुलाई 2021 में, इंटेल ने 2025 के लिए 18A (1.8 एनएम के बराबर) उत्पादन की योजना बनाई है।<ref name="intelroadmap" /> इंटेल के फरवरी 2022 के रोडमैप में कहा गया है कि 18A, इंटेल 20A की तुलना में प्रति वाट प्रदर्शन में 10% सुधार प्रदान करेगा और 2024 H2 में निर्माण के लिए तैयार हो जाएगा।<ref name=":0" />
 
दिसंबर 2021 में वर्टिकल-ट्रांसपोर्ट एफईटी (वीटीएफईटी) सीएमओएस लॉजिक ट्रांजिस्टर डिज़ाइन को वर्टिकल नैनोशीट के साथ सब-45 एनएम गेट पिच पर प्रदर्शित किया गया था।<ref>{{cite book | chapter-url=https://ieeexplore.ieee.org/document/9720561 | doi=10.1109/IEDM19574.2021.9720561 | s2cid=247321213 | chapter=Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices | title=2021 IEEE International Electron Devices Meeting (IEDM) | year=2021 | last1=Jagannathan | first1=H. | last2=Anderson | first2=B. | last3=Sohn | first3=C-W. | last4=Tsutsui | first4=G. | last5=Strane | first5=J. | last6=Xie | first6=R. | last7=Fan | first7=S. | last8=Kim | first8=K-I. | last9=Song | first9=S. | last10=Sieg | first10=S. | last11=Seshadri | first11=I. | last12=Mochizuki | first12=S. | last13=Wang | first13=J. | last14=Rahman | first14=A. | last15=Cheon | first15=K-Y. | last16=Hwang | first16=I. | last17=Demarest | first17=J. | last18=Do | first18=J. | last19=Fullam | first19=J. | last20=Jo | first20=G. | last21=Hong | first21=B. | last22=Jung | first22=Y. | last23=Kim | first23=M. | last24=Kim | first24=S. | last25=Lallement | first25=R. | last26=Levin | first26=T. | last27=Li | first27=J. | last28=Miller | first28=E. | last29=Montanini | first29=P. | last30=Pujari | first30=R. | pages=26.1.1–26.1.4 | isbn=978-1-6654-2572-8 | display-authors=1 }}</ref>


2016 में [[ लॉरेंस बर्कले राष्ट्रीय प्रयोगशाला ]] के शोधकर्ताओं ने 1-नैनोमीटर गेट के साथ ट्रांजिस्टर बनाया।<ref>{{Cite web|url=http://newscenter.lbl.gov/2016/10/06/smallest-transistor-1-nm-gate/|title=Smallest. Transistor. Ever. {{!}} Berkeley Lab|last=Yang|first=Sarah|date=2016-10-06|website=News Center|access-date=2016-10-08}}</ref>
मई 2022 में, आईएमईसी ने प्रक्रिया प्रौद्योगिकी रोडमैप प्रस्तुत किया, जो नोड परिचय की वर्तमान द्विवार्षिक ताल और 2036 तक दो नोड नामकरण नियम का वर्ग-रूट बढ़ाता है। रोडमैप टीएसएमसी के नामकरण के अनुरूप प्रक्रिया नोड A2 (2 एंग्स्ट्रॉम के लिए) के साथ समाप्त होता है। तब तक योजना प्रारंभ की जाएगी।<ref>{{cite web|url=https://www.tomshardware.com/news/imecs-sub-1nm-process-node-and-transistor-roadmap-until-2036-from-nanometers-to-the-angstrom-era|title=Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036|website=Tom's Hardware|date=2022-05-21}}</ref>
जुलाई 2021 में, Intel ने 2025 के लिए 18A (1.8 एनएम के बराबर) उत्पादन की योजना बनाई है।<ref name=intelroadmap/>Intel के फरवरी 2022 के रोडमैप में कहा गया है कि 18A, Intel 20A की तुलना में प्रति वाट प्रदर्शन में 10% सुधार प्रदान करेगा और 2024 H2 में निर्माण के लिए तैयार हो जाएगा।<ref name=":0" />


दिसंबर 2021 में वर्टिकल-ट्रांसपोर्ट FET (VTFET) सीएमओएस लॉजिक ट्रांजिस्टर डिज़ाइन को वर्टिकल नैनोशीट के साथ सब-45 एनएम गेट पिच पर प्रदर्शित किया गया था।<ref>{{cite book | chapter-url=https://ieeexplore.ieee.org/document/9720561 | doi=10.1109/IEDM19574.2021.9720561 | s2cid=247321213 | chapter=Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices | title=2021 IEEE International Electron Devices Meeting (IEDM) | year=2021 | last1=Jagannathan | first1=H. | last2=Anderson | first2=B. | last3=Sohn | first3=C-W. | last4=Tsutsui | first4=G. | last5=Strane | first5=J. | last6=Xie | first6=R. | last7=Fan | first7=S. | last8=Kim | first8=K-I. | last9=Song | first9=S. | last10=Sieg | first10=S. | last11=Seshadri | first11=I. | last12=Mochizuki | first12=S. | last13=Wang | first13=J. | last14=Rahman | first14=A. | last15=Cheon | first15=K-Y. | last16=Hwang | first16=I. | last17=Demarest | first17=J. | last18=Do | first18=J. | last19=Fullam | first19=J. | last20=Jo | first20=G. | last21=Hong | first21=B. | last22=Jung | first22=Y. | last23=Kim | first23=M. | last24=Kim | first24=S. | last25=Lallement | first25=R. | last26=Levin | first26=T. | last27=Li | first27=J. | last28=Miller | first28=E. | last29=Montanini | first29=P. | last30=Pujari | first30=R. | pages=26.1.1–26.1.4 | isbn=978-1-6654-2572-8 | display-authors=1 }}</ref>
मई 2022 में, IMEC ने प्रक्रिया टेक्नोलॉजी रोडमैप प्रस्तुत किया, जो नोड परिचय की वर्तमान द्विवार्षिक ताल और 2036 तक दो नोड नामकरण नियम का वर्ग-रूट बढ़ाता है। रोडमैप TSMC के नामकरण के अनुरूप प्रक्रिया नोड A2 (2 एंग्स्ट्रॉम के लिए) के साथ समाप्त होता है। तब तक योजना प्रारंभ की जाएगी।<ref>{{cite web|url=https://www.tomshardware.com/news/imecs-sub-1nm-process-node-and-transistor-roadmap-until-2036-from-nanometers-to-the-angstrom-era|title=Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036|website=Tom's Hardware|date=2022-05-21}}</ref>
ट्रांजिस्टर संरचनाओं और इंटरकनेक्ट के आयामी स्केलिंग के अलावा, आईमेक द्वारा पूर्वानुमानित नवाचार इस प्रकार हैं:
ट्रांजिस्टर संरचनाओं और इंटरकनेक्ट के आयामी स्केलिंग के अलावा, आईमेक द्वारा पूर्वानुमानित नवाचार इस प्रकार हैं:
* ट्रांजिस्टर आर्किटेक्चर (फोर्कशीट एफईटी, सीएफईटी, सीएफईटी परमाणु (2डी सामग्री) चैनल के साथ);
* ट्रांजिस्टर आर्किटेक्चर (फोर्कशीट एफईटी, सीएफईटी, सीएफईटी परमाणु (2डी सामग्री) चैनल के साथ);
* 2023 में ASML होल्डिंग में पूरा होने वाले पहले $400 मिलियन टूल के साथ हाई-NA (0.55) [[ अत्यधिक पराबैंगनी लिथोग्राफी ]] टूल की तैनाती, और 2025 में Intel को भेजे जाने वाले पहले प्रोडक्शन टूल;
* 2023 में एएसएमएल होल्डिंग में पूरा होने वाले पहले $400 मिलियन टूल के साथ हाई-NA (0.55) [[ अत्यधिक पराबैंगनी लिथोग्राफी |अत्यधिक पराबैंगनी लिथोग्राफी]] टूल की नियुक्ति, और 2025 में इंटेल को भेजे जाने वाले पहले प्रोडक्शन टूल;
* मानक सेल ऊंचाई में और कमी (अंततः 4 ट्रैक से कम);
* मानक सेल ऊंचाई में और कमी (अंततः 4 ट्रैक से कम);
* बैक-साइड बिजली वितरण, दफन बिजली रेल;
* बैक-साइड बिजली वितरण, दफन बिजली रेल;
Line 136: Line 149:
* आईसी डिजाइन नवाचार (2.5डी चिपलेट्स, 3डी इंटरकनेक्ट), अधिक उन्नत ईडीए उपकरण।
* आईसी डिजाइन नवाचार (2.5डी चिपलेट्स, 3डी इंटरकनेक्ट), अधिक उन्नत ईडीए उपकरण।


सितंबर 2022 में, [[सैमसंग इलेक्ट्रॉनिक्स]] ने अपने भविष्य के व्यावसायिक लक्ष्यों को प्रस्तुत किया जिसमें 2027 तक बड़े पैमाने पर 1.4 एनएम का उत्पादन करने का लक्ष्य शामिल है।<ref>{{cite web|url=https://news.samsung.com/global/samsung-electronics-unveils-plans-for-1-4nm-process-technology-and-investment-for-production-capacity-at-samsung-foundry-forum-2022|title=Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022|website=Samsung Global Newsroom|date=2022-10-04}}</ref>
सितंबर 2022 में, [[सैमसंग इलेक्ट्रॉनिक्स]] ने अपने भविष्य के व्यावसायिक लक्ष्यों को प्रस्तुत किया जिसमें 2027 तक बड़े पैमाने पर 1.4 एनएम का उत्पादन करने का लक्ष्य सम्मिलित है।<ref>{{cite web|url=https://news.samsung.com/global/samsung-electronics-unveils-plans-for-1-4nm-process-technology-and-investment-for-production-capacity-at-samsung-foundry-forum-2022|title=Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022|website=Samsung Global Newsroom|date=2022-10-04}}</ref>




Line 161: Line 174:
| next = unknown
| next = unknown
}}
}}
[[Category: सेमीकंडक्टर लिथोग्राफी नोड्स के लिए अंतर्राष्ट्रीय प्रौद्योगिकी रोडमैप|*002]]


[[Category: Machine Translated Page]]
[[Category:CS1 British English-language sources (en-gb)]]
[[Category:CS1 English-language sources (en)]]
[[Category:CS1 maint]]
[[Category:Created On 15/06/2023]]
[[Category:Created On 15/06/2023]]
[[Category:Lua-based templates]]
[[Category:Machine Translated Page]]
[[Category:Pages with reference errors]]
[[Category:Pages with script errors]]
[[Category:Short description with empty Wikidata description]]
[[Category:Template documentation pages|Short description/doc]]
[[Category:Templates Vigyan Ready]]
[[Category:Templates that add a tracking category]]
[[Category:Templates that generate short descriptions]]
[[Category:Templates using TemplateData]]
[[Category:Webarchive template wayback links]]

Latest revision as of 10:12, 30 June 2023

अर्धचालक निर्माण में, 2 एनएम प्रक्रिया अगला एमओएसएफईटी (मेटल-ऑक्साइड-अर्धचालक फील्ड-इफेक्ट ट्रांजिस्टर) है जो 3 एनएम प्रक्रिया नोड के बाद सिकुड़ जाता है। मई 2022 तक, टीएसएमसी ने 2024 के अंत में 2 एनएम उत्पादन और 2025 में बड़े पैमाने पर उत्पादन प्रारंभ करने की योजना बनाई है;[1][2] इंटेल ने 2024[3] और दक्षिण कोरियाई चिपमेकर सैमसंग में 2025 में उत्पादन का अनुमान लगाया है।[4]

शब्द 2 नैनोमीटर या वैकल्पिक रूप से 20 एंग्स्ट्रॉम (इंटेल द्वारा प्रयुक्त शब्द) का ट्रांजिस्टर के किसी भी वास्तविक भौतिक विशेषता (जैसे गेट की लंबाई, धातु की पिच या गेट पिच) से कोई संबंध नहीं है। इंस्टीट्यूट ऑफ़ इलेक्ट्रिकल एंड इलेक्ट्रॉनिक्स इंजीनियर्स (आईईईई) द्वारा प्रकाशित उपकरणों और प्रणालियों के लिए अंतर्राष्ट्रीय रोडमैप के 2021 अपडेट में निहित अनुमानों के अनुसार, 2.1 एनएम नोड रेंज लेबल में 45 नैनोमीटर की संपर्क गेट पिच और 20 नैनोमीटर की धातु की पिच सबसे सख्त धातु पिच होने की अपेक्षा है।[5]

प्रक्रिया गेट पिच धातु की पिच वर्ष
5 एनएम 51 एनएम 30 एनएम 2020
3 एनएम 48 एनएम 24 एनएम 2022
2 एनएम 45 एनएम 20 एनएम 2024?

जैसे, अर्धचालक उद्योग द्वारा "2 एनएम" का उपयोग मुख्य रूप से एक विपणन शब्द के रूप में किया जाता है, जो पिछले 3 एनएम नोड पीढ़ी की तुलना में बढ़े हुए ट्रांजिस्टर घनत्व (लघुकरण की उच्च डिग्री), बढ़ी हुई गति और कम विद्युत की व्यय के संदर्भ में चिप्स की एक नई उन्नत पीढ़ी को संदर्भित करता है।[6][7]


पृष्ठभूमि

2018 तक, FinFET के अंतिम प्रतिस्थापन के लिए कई ट्रांजिस्टर आर्किटेक्चर प्रस्तावित किए गए थे, जिनमें से अधिकांश जीएएएफईटी की अवधारणा पर आधारित हैं: क्षैतिज और लंबवत नैनोवायर, क्षैतिज नैनोशीट ट्रांजिस्टर[8][9] (सैमसंग एमबीसीएफईटी, इंटेल नैनोरिबन), लंबवत एफईटी (वीएफईटी), पूरक एफईटी (सीएफईटी), स्टैक्ड एफईटी, और नेगेटिव-कैपेसिटेंस एफईटी (एनसी-एफईटी) जो अत्यधिक विभिन्न सामग्रियों का उपयोग करता है।[10]

2018 के अंत में, टीएसएमसी के अध्यक्ष मार्क लियू ने भविष्यवाणी की कि चिप स्केलिंग 3 एनएम और 2 एनएम नोड्स तक जारी रहेगी;[11] चूंकि, 2019 तक, अन्य अर्धचालक विशेषज्ञ इस बारे में अनिर्णीत थे कि क्या 3 एनएम से आगे के नोड व्यवहार्य हो सकते हैं।[12] टीएसएमसी ने 2019[13] में FinFET से जीएएएफईटी ट्रांजिस्टर प्रकार में संक्रमण की अपेक्षा में 2 एनएम पर शोध प्रारंभ किया था।[14] जुलाई 2021 में, टीएसएमसी को अपना 2 एनएम प्लांट बनाने के लिए सरकारी अनुमति मिली थी। अगस्त 2020 में इसने सिंचु में 2 एनएम प्रौद्योगिकी के लिए एक अनुसंधान एवं विकास प्रयोगशाला का निर्माण प्रारंभ किया, जिसके 2021 तक आंशिक रूप से चालू होने की अपेक्षा है।[15] सितंबर 2020 में टीएसएमसी ने इसकी पुष्टि की और कहा कि वह मांग के आधार पर ताइचुंग में भी उत्पादन स्थापित कर सकती है।[16] ताइवान आर्थिक दैनिक (2020) के अनुसार अपेक्षाें 2023 के अंत में उच्च उपज जोखिम उत्पादन के लिए थीं।[17][18] निक्केई के अनुसार कंपनी को 2023 तक 2 एनएम के लिए उत्पादन उपकरण स्थापित करने की अपेक्षा है।[19]

इंटेल के 2019 रोडमैप ने क्रमशः 2025 और 2027 के लिए संभावित समतुल्य 3 एनएम और 2 एनएम नोड्स निर्धारित करता है, और दिसंबर 2019 में 2029 में 1.4 एनएम उत्पादन की योजना की घोषणा की।[20]

2020 के अंत में, सत्रह यूरोपीय संघ के देशों ने अपने संपूर्ण अर्धचालक उद्योग को विकसित करने के लिए संयुक्त घोषणा पर हस्ताक्षर किए, जिसमें 2 एनएम के साथ-साथ विकास प्रक्रिया नोड्स के साथ-साथ कस्टम प्रोसेसर का डिजाइन और निर्माण सम्मिलित है, जो 145 बिलियन यूरो तक की धनराशि प्रदान करता है।[21][22]

मई 2021 में, आईबीएम ने घोषणा की कि उसने 12 एनएम की गेट लंबाई के साथ तीन सिलिकॉन परत नैनोशीट्स का उपयोग करके 2 एनएम क्लास जीएएएफईटी ट्रांजिस्टर के साथ चिप्स का उत्पादन किया है।[23][24][25]

जुलाई 2021 में, इंटेल ने 2021 के बाद से अपने प्रक्रिया नोड रोडमैप का अनावरण किया। कंपनी ने intel 20A नामक उनके 2एनएम प्रक्रिया नोड की पुष्टि की,[notes 1] A का संदर्भ एंगस्ट्रॉम से है, जो 0.1 नैनोमीटर के बराबर इकाई है।[26] उसी समय उन्होंने नई प्रक्रिया नोड नामकरण योजना प्रारंभ की जिसने उनके उत्पाद नामों को उनके मुख्य प्रतिस्पर्धियों से समान पदनामों के साथ संरेखित किया।[27] इंटेल के 20A नोड को FinFET से गेट-ऑल-अराउंड ट्रांजिस्टर (जीएएएफईटी) में जाने वाला पहला नोड होने का अनुमान है; इंटेल के वर्जन का नाम 'रिबनएफईटी' है।[27] उनके 2021 रोडमैप ने 2024 में वॉल्यूम उत्पादन के लिए इंटेल 20A नोड और 2025 के लिए इंटेल 18A निर्धारित किया गया हैं।[26][27]

अक्टूबर 2021 में, सैमसंग फाउंड्री फोरम 2021 में, सैमसंग ने घोषणा की कि वह 2025 में अपने एमबीसीएफईटी (मल्टी-ब्रिज चैनल एफईटी, सैमसंग का जीएएएफईटी का संस्करण) 2 एनएम प्रक्रिया के साथ बड़े पैमाने पर उत्पादन प्रारंभ करेगा।[28]

अप्रैल 2022 में, टीएसएमसी ने घोषणा की कि इसकी जीएएएफईटी एन2 प्रक्रिया प्रौद्योगिकी 2024 के अंत में जोखिम उत्पादन चरण और 2025 में उत्पादन चरण में प्रवेश करेगी।[1] जुलाई 2022 में, टीएसएमसी ने घोषणा की कि इसकी एन2 प्रक्रिया प्रौद्योगिकी में बैकसाइड पावर डिलीवरी की सुविधा होगी औरयह आईएसओ पावर पर 10–15% उच्च प्रदर्शन या आईएसओ प्रदर्शन पर 20–30% कम पावर और N3E की तुलना में 20% से अधिक उच्च ट्रांजिस्टर घनत्व प्रदान करेगी।[29]

जुलाई 2022 में, सैमसंग ने कंपनी की आगामी प्रक्रिया प्रौद्योगिकी 2GAP (2एनएम गेल-अराउंड प्रोडक्शन) के बारे में कई खुलासे किए: बड़े पैमाने पर उत्पादन में 2025 लॉन्च के लिए प्रक्रिया ट्रैक पर बनी हुई है; 3जीएपी में नैनोशीट्स की संख्या 3 से बढ़कर 4 हो जाएगी; कंपनी मेटलाइजेशन के कई सुधारों पर काम करती है, जैसे कि लो-रेसिस्टेंस वियास के लिए सिंगल-ग्रेन मेटल और 2GAP और उससे आगे के लिए डायरेक्ट-एच्च्ड मेटल इंटरकनेक्ट।[30]

अगस्त 2022 में, जापानी कंपनियों के एक संघ ने 2 एनएम चिप्स के निर्माण के लिए रैपिडस नामक सरकारी समर्थन के साथ एक नया उद्यम वित्त पोषित किया। रैपिडस ने दिसंबर 2022 में आईएमईसी[31] और आईबीएम[32] के साथ समझौते पर हस्ताक्षर किए।

अप्रैल 2023 में, अपने प्रौद्योगिकी संगोष्ठी में, टीएसएमसी ने अपने 2एनएम प्रौद्योगिकी प्लेटफॉर्म की दो और प्रक्रियाओं की प्रारंभ की, जिसमें बैकसाइड पावर डिलीवरी और 2026 के लिए निर्धारित और उच्च-प्रदर्शन अनुप्रयोगों के लिए N2X सम्मिलित हैं। यह भी पता चला कि एआरएम कॉर्टेक्स-ए715 कोर उच्च-प्रदर्शन मानक पुस्तकालय का उपयोग करते हुए एन2 प्रक्रिया पर आधारित है, आईएसओ गति पर 37.2% बिजली बचाता है, या ~ 10% गति प्राप्त करता है और 3-2 फिन लाइब्रेरी का उपयोग करके एन3ई पर कोर फैब किए गए कोर की तुलना में आईएसओ वोल्टेज (0.8 वी) पर एक साथ ~ 20% बिजली बचाता है।[33]

2 एनएम प्रक्रिया नोड्स

सैमसंग[34][30] टीएसएमसी इंटेल
प्रक्रिया नाम 2GAP N2 N2P N2X 20A 18A
ट्रांजिस्टर प्रकार MBCFET जीएएएफईटी जीएएएफईटी जीएएएफईटी रिबनएफईटी रिबनएफईटी
ट्रांजिस्टर घनत्व

(MTr/mm2)

Un­known Un­known Un­known Un­known Un­known Un­known
एसरैम बिट-सेल आकार

(μm2)

Un­known Un­known Un­known Un­known Un­known Un­known
ट्रांजिस्टर गेट पिच

(एनएम)

Un­known Un­known Un­known Un­known Un­known Un­known
इंटरकनेक्ट पिच

(एनएम)

Un­known Un­known Un­known Un­known Un­known Un­known
रिलीज की स्थिति 2025 मात्रा में उत्पादन[28] 2024 H2 जोखिम उत्पादन

2025 मात्रा में उत्पादन[1]

2026 उत्पादन की तैयारी Un­known 2024 मात्रा में उत्पादन[27][26] 2025 उत्पादन[27][26]


2 एनएम के बाहर

2008 में ब्रिटेन के शोधकर्ताओं ने परमाणु मोटा और दस परमाणु चौड़ा ट्रांजिस्टर बनाया था। वे भविष्य की कंप्यूटिंग के आधार के रूप में सिलिकॉन के संभावित विकल्प ग्राफीन से उकेरे गए थे। ग्राफीन कार्बन की चपटी चादरों से मधुकोश व्यवस्था में बनी सामग्री है, और प्रमुख दावेदार है। ब्रिटेन के मैनचेस्टर विश्वविद्यालय की टीम ने इस समय कुछ सबसे छोटे ट्रांजिस्टर बनाने के लिए इसका उपयोग किया: केवल 1 एनएम के उपकरण जिनमें केवल कुछ कार्बन रिंग होते हैं।[35]

2012 में, एकल-परमाणु ट्रांजिस्टर को सिलिकॉन सतह (दो काफी बड़े इलेक्ट्रोड के बीच) से जुड़े फास्फोरस परमाणु का उपयोग करके बनाया गया था।[36] इस ट्रांजिस्टर को 180 पीकोमीटर ट्रांजिस्टर कहा जा सकता है, फॉस्फोरस परमाणु का वैन डेर वाल्स त्रिज्या; चूंकि इसकी सहसंयोजक त्रिज्या सिलिकॉन से बंधी होने की संभावना कम है।[37] इससे छोटे ट्रांजिस्टर बनाने के लिए या तो छोटे परमाणु त्रिज्या वाले तत्वों का उपयोग करना होगा, या उपपरमाण्विक कणों जैसे इलेक्ट्रॉनों या प्रोटॉनों का उपयोग कार्यात्मक ट्रांजिस्टर के रूप में करना होगा।

2016 में लॉरेंस बर्कले राष्ट्रीय प्रयोगशाला के शोधकर्ताओं ने 1-नैनोमीटर गेट के साथ ट्रांजिस्टर बनाया।[38]

जुलाई 2021 में, इंटेल ने 2025 के लिए 18A (1.8 एनएम के बराबर) उत्पादन की योजना बनाई है।[26] इंटेल के फरवरी 2022 के रोडमैप में कहा गया है कि 18A, इंटेल 20A की तुलना में प्रति वाट प्रदर्शन में 10% सुधार प्रदान करेगा और 2024 H2 में निर्माण के लिए तैयार हो जाएगा।[3]

दिसंबर 2021 में वर्टिकल-ट्रांसपोर्ट एफईटी (वीटीएफईटी) सीएमओएस लॉजिक ट्रांजिस्टर डिज़ाइन को वर्टिकल नैनोशीट के साथ सब-45 एनएम गेट पिच पर प्रदर्शित किया गया था।[39]

मई 2022 में, आईएमईसी ने प्रक्रिया प्रौद्योगिकी रोडमैप प्रस्तुत किया, जो नोड परिचय की वर्तमान द्विवार्षिक ताल और 2036 तक दो नोड नामकरण नियम का वर्ग-रूट बढ़ाता है। रोडमैप टीएसएमसी के नामकरण के अनुरूप प्रक्रिया नोड A2 (2 एंग्स्ट्रॉम के लिए) के साथ समाप्त होता है। तब तक योजना प्रारंभ की जाएगी।[40]

ट्रांजिस्टर संरचनाओं और इंटरकनेक्ट के आयामी स्केलिंग के अलावा, आईमेक द्वारा पूर्वानुमानित नवाचार इस प्रकार हैं:

  • ट्रांजिस्टर आर्किटेक्चर (फोर्कशीट एफईटी, सीएफईटी, सीएफईटी परमाणु (2डी सामग्री) चैनल के साथ);
  • 2023 में एएसएमएल होल्डिंग में पूरा होने वाले पहले $400 मिलियन टूल के साथ हाई-NA (0.55) अत्यधिक पराबैंगनी लिथोग्राफी टूल की नियुक्ति, और 2025 में इंटेल को भेजे जाने वाले पहले प्रोडक्शन टूल;
  • मानक सेल ऊंचाई में और कमी (अंततः 4 ट्रैक से कम);
  • बैक-साइड बिजली वितरण, दफन बिजली रेल;
  • नई सामग्री (धातुकरण के लिए रूथेनियम (इंटरकनेक्ट), ग्राफीन, डब्ल्यूएस2 परमाणु चैनल के लिए मोनोलेयर);
  • नई निर्माण तकनीकें (घटाव धातुकरण, प्रत्यक्ष धातु खोदना);
  • इंटरमेटल डाइलेक्ट्रिक की सापेक्ष पारगम्यता को और कम करने के लिए वायु अंतराल और इसलिए, इंटरकनेक्ट कैपेसिटेंस;
  • आईसी डिजाइन नवाचार (2.5डी चिपलेट्स, 3डी इंटरकनेक्ट), अधिक उन्नत ईडीए उपकरण।

सितंबर 2022 में, सैमसंग इलेक्ट्रॉनिक्स ने अपने भविष्य के व्यावसायिक लक्ष्यों को प्रस्तुत किया जिसमें 2027 तक बड़े पैमाने पर 1.4 एनएम का उत्पादन करने का लक्ष्य सम्मिलित है।[41]


टिप्पणियाँ

  1. Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.[26]


संदर्भ

  1. 1.0 1.1 1.2 {{cite web|url=https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming%7Ctitle=TSMC रोडमैप अपडेट: 2024 में N3E, 2026 में N2, आने वाले बड़े बदलाव|website=AnandTech|date=2022-04-22|access-date=9 May 2022|archive-date=9 May 2022|archive-url=https://web.archive.org/web/20220509122111/https://www.anandtech.com/print/17356/tsmc-roadmap-update-n3e-in-2024-n2-in-2026-major-changes-incoming%7Curl-status=live}
  2. "TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025". AnandTech (in English). 2021-10-18. Archived from the original on 23 March 2022. Retrieved 23 March 2022.
  3. 3.0 3.1 "इंटेल प्रौद्योगिकी रोडमैप और मील के पत्थर". Intel (in English). 2022-02-17. Archived from the original on 16 July 2022. Retrieved 15 March 2022.
  4. "Samsung Foundry: 2nm Silicon in 2025". AnandTech (in English). 2021-10-06. Archived from the original on 23 March 2022. Retrieved 23 March 2022.
  5. INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™: More Moore, IEEE, 2021, p. 7, archived from the original on 7 August 2022, retrieved 7 August 2022
  6. "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". Archived from the original on 17 June 2020. Retrieved 20 April 2020.
  7. Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on 2 December 2020. Retrieved 20 April 2021.
  8. https://semiengineering.com/whats-different-about-next-gen-transistors/
  9. https://spectrum.ieee.org/amp/intels-stacked-nanosheet-transistors-could-be-the-next-step-in-moores-law-2652903505
  10. https://semiengineering.com/transistor-options-beyond-3nm/
  11. Patterson, Alan (12 Sep 2018), "TSMC: Chip Scaling Could Accelerate", www.eetimes.com, archived from the original on 24 September 2018, retrieved 23 September 2020
  12. Merritt, Rick (4 March 2019), "SPIE Conference Predicts Bumpy Chip Roadmap", www.eetasia.com, archived from the original on 27 June 2019, retrieved 23 September 2020
  13. Zafar, Ramish (12 June 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, archived from the original on 7 November 2020, retrieved 23 September 2020
  14. "Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips", www.digitimes.com, 21 Sep 2020, archived from the original on 23 October 2020, retrieved 23 September 2020
  15. Wang, Lisa (26 Aug 2020), "TSMC developing 2nm tech at new R&D center", taipeitimes.com, archived from the original on 24 January 2021, retrieved 23 September 2020
  16. Chien-Chung, Chang; Huang, Frances (23 Sep 2020), "TSMC to build 2nm wafer plant in Hsinchu", focustaiwan.tw, archived from the original on 25 October 2020, retrieved 23 September 2020
  17. Udin, Efe (23 Sep 2020), "TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH", www.gizchina.com, archived from the original on 19 October 2021, retrieved 24 September 2021
  18. 台积电2nm工艺重大突破!2023年风险试产良率或达90% (in Chinese), 22 Sep 2020, archived from the original on 24 September 2021, retrieved 24 September 2021{{citation}}: CS1 maint: unrecognized language (link)
  19. "ताइवान ने सबसे उन्नत चिप संयंत्र के लिए TSMC को हरी झंडी दी". Nikkei Asia (in British English). Archived from the original on 4 November 2021. Retrieved 2021-08-24.
  20. Cutress, Ian, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm", www.anandtech.com, archived from the original on 2021-01-12, retrieved 2020-09-23
  21. Dahad, Nitin (9 Dec 2020), "EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology", www.eetimes.eu, archived from the original on 10 January 2021, retrieved 9 January 2021
  22. Joint declaration on processors and semiconductor technologies, EU, 7 Dec 2020, archived from the original on 11 January 2021, retrieved 9 January 2021
  23. Nellis, Stephen (6 May 2021), "IBM unveils 2-nanometer chip technology for faster computing", Reuters (in English), archived from the original on 2021-05-07, retrieved 2021-05-06
  24. Johnson, Dexter (6 May 2021), "IBM Introduces the World's First 2-nm Node Chip", IEEE Spectrum, archived from the original on 7 May 2021, retrieved 7 May 2021
  25. 12 nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5 nm" process node: [1] Archived 24 June 2021 at the Wayback Machine
  26. 26.0 26.1 26.2 26.3 26.4 26.5 Cutress, Dr Ian (26 July 2021). "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Archived from the original on 3 November 2021. Retrieved 2021-07-27.
  27. 27.0 27.1 27.2 27.3 27.4 Santo, Brian (27 July 2021), "Intel Charts Manufacturing Course to 2025", www.eetimes.com, archived from the original on 19 August 2021, retrieved 11 August 2021
  28. 28.0 28.1 "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". Samsung. 2021-10-07. Archived from the original on 8 April 2022. Retrieved 9 May 2022.
  29. "TSMC Q2 2022 अर्निंग कॉल" (PDF). TSMC. 2022-07-14. Archived (PDF) from the original on 15 July 2022. Retrieved 22 July 2022.
  30. 30.0 30.1 "सैमसंग 3nm GAAFET ने जोखिम उत्पादन में प्रवेश किया; अगली पीढ़ी के सुधारों पर चर्चा करता है". WikiChip Fuse. 2022-07-05.
  31. Manners, David (2022-12-16). "इमेक और रैपिडस 2एनएम के लिए साइन अप करते हैं". Electronics Weekly (in English).
  32. https://www.pcmag.com/news/japan-to-manufacture-2एनएम-chips-with-a-little-help-from-ibm
  33. "TSMC ने 2nm योजनाओं की रूपरेखा दी: N2P 2026 में बैकसाइड पावर डिलीवरी लाता है, N2X को रोडमैप में जोड़ा गया". AnandTech. 2023-04-26.
  34. "Samsung Foundry: 2nm Silicon in 2025". AnandTech. 2021-10-06.
  35. Atom-thick material runs rings around silicon
  36. Fuechsle, M.; Miwa, J. A.; Mahapatra, S.; Ryu, H.; Lee, S.; Warschkow, O.; Hollenberg, L. C. L.; Klimeck, G.; Simmons, M. Y. (2012). "एकल-परमाणु ट्रांजिस्टर". Nature Nanotechnology. 7 (4): 242. doi:10.1038/nnano.2012.21.
  37. "टीम ने बनाया दुनिया का सबसे छोटा ट्रांजिस्टर". Retrieved 28 May 2013.
  38. Yang, Sarah (2016-10-06). "Smallest. Transistor. Ever. | Berkeley Lab". News Center. Retrieved 2016-10-08.
  39. Jagannathan, H.; et al. (2021). "Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices". 2021 IEEE International Electron Devices Meeting (IEDM). pp. 26.1.1–26.1.4. doi:10.1109/IEDM19574.2021.9720561. ISBN 978-1-6654-2572-8. S2CID 247321213.
  40. "Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036". Tom's Hardware. 2022-05-21.
  41. "Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022". Samsung Global Newsroom. 2022-10-04.


अग्रिम पठन

Preceded by
3 nm (FinFET/GAAFET)
MOSFET semiconductor device fabrication process Succeeded by
unknown